文档库 最新最全的文档下载
当前位置:文档库 › 建筑设计工作总结

建筑设计工作总结

建筑设计工作总结
建筑设计工作总结

建筑设计工作总结

建筑设计工作总结

专业技术工作总结

我2010年7月毕业于内蒙古建筑职业技术学院建筑设计技术专业,毕业后在成熟和迷惘的交织中,进入呼和浩特华德工程设计咨询有限责任公司,从事建筑设计工作。

在我眼里,设计院也是一个没有硝烟的战场,它能磨炼人的意志,淡泊人的心灵,业务素质能得到快速提高,人的心理也能快速成熟起来,这无不凝结着每位领导的英名决策和正确领导。

下面从业务素质,外界沟通与内部合作等几个方面来总结自己的专业技术业务。

作为一名建筑设计专业人员,业务能力的提高是重中之重。在参加工作的几年里,通过大量建筑方案设计,初步设计,施工图设计,对建筑设计的操作流程,绘制方法有了较深的认识,对各类建筑规范,设计通则等有了较深的理解与掌握。

具体表现在以下几个方面:

一、方案设计方面

方案能力是一名建筑设计师应必备的重要能力。好的平面方案直接与建筑的适用,经济,美观三大基本要素挂钩。通过对聚龙湾住宅小区、东乌旗住宅小区、乌海市乌达区等各类住宅区的总体规划和方案设计,我住宅小区整体规划和

住宅户型设计立面造型设计等方面有了较为深刻的理解,具备了一定的专业素养。首先了解工程的实际地理情况,实地考察;其次埋头苦干,虚心请教,查阅各类建筑设计规范资料;最后了解房地产开发的动态和走向,与甲方沟通,揣测开发商的商业目的;努力寻求设计师与开发商完美的结合点。

作为设计师,主要通过国家现行规范和当地政府部门规定的容积率,建筑密度,绿化率,建筑高度和间距来宏观控制;基本柱网的确定,消防通道与小区路网的贯通,建筑风格的选定也是一名成熟的设计师必须熟练解决的几个问题。我在工作中特别注意上述问题,并妥善处理,遇到难题时就虚心向领导和资深专家请教,取得了较好的效果,积累了不少宝贵的经验。

二、效果图表现方面

效果图作为一种表现手段,是建筑设计作品最直观的表达方式,它决定着建筑的整体风格,也是决定开发商取舍整套方案的一个很重要的原因,所以我认为效果图的表现是建筑设计前期相当重要的组成部分,也使一名合格建筑师必须掌握的一项基本技能。

在这几年的工作中,通过对华典新城住宅小区、百泉山庄生态旅游园区、成吉思汗大街亮化工程等效果图制作,熟练的掌握3dmax, VRay, photoshop等设计加强对建筑形体,

三维空间的理解。可以熟练地制作出单体建筑透视效果图、群体建筑鸟瞰效果图、沿街立面效果图等。但是在科技技术迅速发展,制图软件日新月异的今天想要把建筑效果表现的更好,还需要不断的学习和实践。

三、施工图设计方面

施工图是方案设计的后续,它将建筑设计从宏观引入到微观,从控制到限定。使建筑设计作品更贴近生活和方便施工。这就要求设计

师必须增强对生活的体验和对建筑规范的透彻理解。通过工作这几年的大量施工图设计,从一般住宅到商业建筑,从民用建筑到工业建筑,从多层到高层,从刚开始的的描图组图,到后来的跟图学图,接下来的独立操作。我亲眼目睹了自己从无到有,从迷惘到清晰的成长过程,基本具备了独立操作的能力,可以解决施工中常见的一些问题。

设计是一项贯穿工程始末的工作。设计前期,我们致力于与甲方的沟通,通过我们的职业嗅觉了解开发商的商业意图,不卑不亢,有礼有节是我们坚持的一贯立场。设计中期,我们需要与同专业的合作,与相关专业的配合完成,建筑专业作为其他专业的先导,必须对整个设计流程负全面的责任。在我看来,合作中的摩擦总是难以避免的,但必须具备良好的心态;无论工种的相同与否,职位高低,都必须抱学习的态度,虚心的精神,舒缓的语气,以理服人的姿态;任

何的自以为是,适才自傲都会导致内部矛盾,影响整个团队的战斗力,继而拖缓工程进度。设计后期,我们要与施工方进行不断的交流,无论交流的结果如何,都对我们今后的设计是有百益而无一害;是我们的问题,就要敢于承认并迅速加以更正,不是我们的过错,也要做细致的解释工作。

我相信,有了公司领导层的英名决策和正确领导,加上公司全体员工的共同努力,同舟共济,继往开来,公司的发展一定会蒸蒸日上。我没有理由不憧憬以后的锦绣前程。

建筑工程设计员个人工作总结

我于**年来到集团建筑设计院工作,我通过@个月的试用期,有幸成为集团的一名员工,回顾这几个月来的工作,我在公司领导及各位同事的支持与帮助下,严格要求自己,按照公司的要求,较好地完成了自己的本职工作;在此对公司各位领导及各位同事表示衷心的感谢,感谢公司给我一个展示自己的机会。通过这段时间的工作与学习,在专业技能上、思想上都有了较大的改变,现将这几个月以来的工作情况总结如下:

一、实习阶段的认识与学习

对于刚刚毕业的大学生来说,从事设计工作是机遇也是挑战。我有幸成为*集团建筑设计院的一员,在刚刚开始工作的这几个月,尽快适应了工作的环境,融入到设计院这个集体中。在领导及各位同事的关怀、支持与帮助下,认真学习

建筑设计类工作总结

建筑设计类工作总结 Updated by Jack on December 25,2020 at 10:00 am

工作总结 在成熟和迷惘的交织中,2010悄然流逝了。在我眼里,设计室也是一个没有硝烟的战场,它能磨炼人的意志,淡泊人的心灵,业务素质能得到快速提高,人的心理也能快速成熟起来,这无不凝结着每位领导的英名决策和正确指导。 年终岁末的时候,人总是特别感叹生命的蹉跎,回首与展望会是两个亘古不变的主题。下面我将从业务素质,外界沟通与内部合作两个大的方面来分析自己一年来的得失。 作为一名建筑专业设计人员,业务能力的提高是重中之重。 2009年的半年,通过大量的施工图绘制,我对建筑设计的流程,方法等有了较深的认识,对建筑规范也有了一定的了解。今年年初,我给自己制定的目标是大致具备能独立操作一个一般项目的能力,包括它的总平规划,方案设计,效果图表现,以及后期施工图的设计。能力来源于实践,实践检验能力;一年来,我按照自己既定的目标一步一步地脚踏实地走过来,有些可能达到了,而有些可能离目标还有一定地差距,具体表现在以下几个方面。 一. 总平规划能力: 2010年上半年,通过对郫县某住宅小区的总平规划,南充某集资房的总平设计,正兴某商业中心的总平调整以及市中心某商住区的总平规划,我对规划方面的知识有了较为深刻的理解,具备了一定的动手能力。为方便与甲方的沟通,我力争更多地了解房地产开发的动态和走向,用心揣测开发商的商业目的,努力寻求设计师与开发商完美的结合点。作为设计师,主要通过国家现行规范和当地政府部门规定的容积率,建筑密度,绿化率,建筑高度和间距来宏

观控制;其次,基本柱网的确定,消防通道与小区路网的贯通,建筑风格的选定也是一名成熟的设计师必须熟练解决的几个问题。我在工作中特别注意上述问题,并妥善处理,遇到难题时就虚心向领导和资深专家请教,取得了较好的效果,积累了不少宝贵的经验。 二. 效果图的表现: 效果图作为一种表现手段,是建筑设计作品最直观的表达方式,它决定着建筑的整体风格,也是决定开发商取舍整套方案的一个很重要的原因,所以我认为效果图的表现是建筑设计前期相当重要的组成部分,也使我必须掌握的一项基本技能。一年来,通过对警备区招待所,某大厦附属天桥,忘忧谷宾馆和某双拼别墅的效果图制作,我能熟练的掌握3dmax,photoshop等设计软件,加以自己对建筑形体的理解,能作出一般的单体效果图,对于大型的商业建筑和小区的鸟瞰图制作还很欠缺,还需要不断的学习和实践。 三. 方案能力: 方案能力是一名建筑设计师应必备的重要能力。好的平面方案直接与建筑的适用,经济,美观三大基本要素挂钩。一年来,我参与了公司大部分项目平面方案的设计,在桂湖花园,怡然居,竹韵苑等平面方案的设计中,我跟随设计组的领导一起查阅资料,实地考察,埋头苦干,虚心请教,圆满完成了既定任务。与此期间,受领导重托,我还独立完成了警备区招待所,某双拼别墅和百货大楼,竹韵苑1,2#楼的平立面方案设计。在平面柱网的布置中,我先按自己的想法大致布置结构柱网,再请结构组同事帮忙检查是否合理,无形之中,我增强了结构概念,丰富了专业知识。在独立设计的过程中,我发现了自

建筑设计专业技术工作总结范文

建筑设计专业技术工作总结范文 我2020年7月毕业于内蒙古建筑职业技术学院建筑设计技术专业,毕业后在成熟和迷惘的交织中,进入呼和浩特华德工程设计咨询有限 责任公司,从事建筑设计工作。在我眼里,设计院也是一个没有硝烟 的战场,它能磨炼人的意志,淡泊人的心灵,业务素质能得到快速提升,人的心理也能快速成熟起来,这无不凝结着每位领导的英名决策 和准确领导。下面从业务素质,外界沟通与内部合作等几个方面来总 结自己的专业技术业务。作为一名建筑设计专业人员,业务水平的提 升是重中之重。在参加工作的几年里,通过大量建筑方案设计,初步 设计,施工图设计,对建筑设计的操作流程,绘制方法有了较深的理解,对各类建筑规范,设计通则等有了较深的理解与掌握。具体表现 在以下几个方面: 一、方案设计方面方案水平是一名建筑设计师应必备的重要水平。好的平面方案直接与建筑的适用,经济,美观三大基本要素挂钩。通 过对聚龙湾住宅小区、东乌旗住宅小区、乌海市乌达区等各类住宅区 的总体规划和方案设计,我住宅小区整体规划和住宅户型设计立面造 型设计等方面有了较为深刻的理解,具备了一定的专业素养。首先了 解工程的实际地理情况,实地考察;其次埋头苦干,虚心请教,查阅 各类建筑设计规范资料;最后了解房地产开发的动态和走向,与甲方 沟通,揣测开发商的商业目的;努力寻求设计师与开发商完美的结合点。作为设计师,主要通过国家现行规范和当地政府部门规定的容积率,建筑密度,绿化率,建筑高度和间距来宏观控制;基本柱网的确定,消防通道与小区路网的贯通,建筑风格的选定也是一名成熟的设 计师必须熟练解决的几个问题。我在工作中特别注意上述问题,并妥 善处理,遇到难题时就虚心向领导和资深专家请教,取得了较好的效果,积累了很多宝贵的经验。 二、效果图表现方面效果图作为一种表现手段,是建筑设计作品 最直观的表达方式,它决定着建筑的整体风格,也是决定开发商取舍 整套方案的一个很重要的原因,所以我认为效果图的表现是建筑设计

建筑设计工作总结

建筑设计工作总结 专业技术工作总结 我2010年7月毕业于内蒙古建筑职业技术学院建筑设计技术专业,毕业后在成熟和迷惘的交织中,进入呼和浩特华德工程设计咨询有限责任公司,从事建筑设计工作。 在我眼里,设计院也是一个没有硝烟的战场,它能磨炼人的意志,淡泊人的心灵,业务素质能得到快速提高,人的心理也能快速成熟起来,这无不凝结着每位领导的英名决策和正确领导。 下面从业务素质,外界沟通与内部合作等几个方面来总结自己的专业技术业务。 作为一名建筑设计专业人员,业务能力的提高是重中之重。在参加工作的几年里,通过大量建筑方案设计,初步设计,施工图设计,对建筑设计的操作流程,绘制方法有了较深的认识,对各类建筑规范,设计通则等有了较深的理解与掌握。 具体表现在以下几个方面: 一、方案设计方面 方案能力是一名建筑设计师应必备的重要能力。好的平面方案直接与建筑的适用,经济,美观三大基本要素挂钩。通过对聚龙湾住宅小区、东乌旗住宅小区、乌海市乌达区等各类住宅区的总体规划和方案设计,我住宅小区整体规划和

住宅户型设计立面造型设计等方面有了较为深刻的理解,具备了一定的专业素养。首先了解工程的实际地理情况,实地考察;其次埋头苦干,虚心请教,查阅各类建筑设计规范资料;最后了解房地产开发的动态和走向,与甲方沟通,揣测开发商的商业目的;努力寻求设计师与开发商完美的结合点。 作为设计师,主要通过国家现行规范和当地政府部门规定的容积率,建筑密度,绿化率,建筑高度和间距来宏观控制;基本柱网的确定,消防通道与小区路网的贯通,建筑风格的选定也是一名成熟的设计师必须熟练解决的几个问题。我在工作中特别注意上述问题,并妥善处理,遇到难题时就虚心向领导和资深专家请教,取得了较好的效果,积累了不少宝贵的经验。 二、效果图表现方面 效果图作为一种表现手段,是建筑设计作品最直观的表达方式,它决定着建筑的整体风格,也是决定开发商取舍整套方案的一个很重要的原因,所以我认为效果图的表现是建筑设计前期相当重要的组成部分,也使一名合格建筑师必须掌握的一项基本技能。 在这几年的工作中,通过对华典新城住宅小区、百泉山庄生态旅游园区、成吉思汗大街亮化工程等效果图制作,熟练的掌握3dmax, VRay, photoshop等设计加强对建筑形体,

建筑设计院年度总结两篇文档

2020 建筑设计院年度总结两篇文档 Job Summary

建筑设计院年度总结两篇文档 前言语料:温馨提醒,对前一时间的工作学习活动等以书面形式形成的文字材料. 研究一下,肯定成绩,找出问题,归纳出经验教训,提高认识,明确方向,以便进一步做 好工作,把这些用文字表述出来,就叫做工作总结.总结的写作过程,既是对自身社会 实践活动的回顾过程,又是人们思想认识提高的过程.通过总结,人们可以把零散的、肤浅的感性认识上升为系统、深刻的理性认识,从而得出科学的结论. 本文内容如下:【下载该文档后使用Word打开】 建筑设计院年度总结一 一、个人情况简介 XX年9月—XX年7月,在xx工业大学就读建筑环境与设备工程(建筑热能工程)专业;XX年7月—XX年10月底,在武汉市燃气热力规划设计院从事燃气、热力方面的设计工作;XX年10月底至今,在公司工作。 XX年9月,参加并通过了XX年度全国注册公用设备工程师(动力)执业资格考试(基础科目);XX年9月,参加并通过了XX年度全国注册公用设备工程师(暖通空调)执业资格考试(基础科目)。 二、试用期间工作上的表现 1严格遵守公司各项规章制度。上班伊始,我认真学习了公司的考勤等各项,并将其牢记于心,时刻提醒自己要严格遵守公司的各项,维护公司形象。如坚持每天上班提早到公司,在跟业主交谈时,我时刻提醒自己我代表的是公司形象,时刻注意自己

的言行。 2根据我所学的专业,我的工作是做动力、暖通空调方面的设计工作。根据领导安排,从我来上班那天起我就开始接触亚洲铝业这个项目,在张芳浩师傅的指导下我主要是做这个项目当中的动力方面的设计工作,主要有压缩空气、工业气体、蒸汽、天然气等气体的压力管道设计,在这之前,我从未接触过这样的项目,这个项目对我来说是个全新的,不但是知识方面不够,而且从形式上,做事的方法上也完全区别于我以前的工作,我突然感觉到全所未有的压力感紧迫感,在不打扰张芳浩工作的前提下,我尽可能的请教他,当然主要还要靠自己学习,在工作空隙我查阅各种资料,不断学习关于压缩空气和工业气体方面的知识,以便更好的做好工作。亚铝的这个项目从各个方面考验我的知识是否适应这项工作,包括语言方面的,因为业主提供的资料都是英文版的,这就使我不得不去提高我的英语水平,工作时我通过查阅工具书来帮助我理解,下班后,我通过学习软件来加强我的英语学习,以便提高我的英语水平。现在亚铝这个项目还在进行,到现在为止,我发现我已经适应了这种全新的工作方式,而且我也觉得我有能力继续把这个项目做下去,直到成功完成。 在这三个月中,除了亚铝这个项目外,我相继完成了以下几个项目:中成大厦职工食堂燃气工程、长兴(广州)电子新增空压机房、制冷机房空调项目、广钢南沙气体工程(竣工)、艾派模具新增厂房初步设计。通过完成上述工作,使我更全面的认识到我的工作内容,更使我认识到作为中冶南方一位工程师应当在具备扎

建筑设计师工作总结报告(最新篇)

建筑设计师工作总结报告 建筑设计师工作总结报告 设计师个人工作总结 建筑设计师工作总结报告 (一) 尊敬的上级公司领导以及XX同事们: xx年x月至2xx年x月由总公司任命我担任XX副院长,于是有幸在这个工作岗位上在您们的领导、您们的关照、您们的理解与支持下经历了适应新的设计管理需要,摸索新的工作方法,考验任职能力的两年。现在把两年来我所想的、所做的,分几个方面报告如下: 一、适应设计,积极配合参与做好与市政XX联合的工作 xx年春,当公用事业局牵头提出局系统设计单位联合申报综合甲级资质时,XX院长召集院领导班子慎重研究分析联合可能给我们带来的机遇以及可能给我们生产与经营带来的问题。在这个过程中,我始终执积极态度。这包括两个方面: 一是将利害关系积极地提出,并建议院长向总公司报告;二是积极的按市政院的要求配合做好报送资质材料的工作,并和院长一起共同研究《承担工程项目划分协议》的起草工作。 虽说联合后出现了失去独立的设计资质问题,这个问题给总公司的机构改革和我们院的经营运作带来很大障碍,但我们还有别的选择吗?留给我们的问题是怎样培植一个符合资质条件的问题。 二、适应工程管理需要摸索设计阶段生产管理的方法

这两年工程项目实施过程中与设计有关的比较突出的是设计变更问题。我认为,设计变更本是工程实施过程中的正常程序。至于那些属于不恰当变更的原因很重要的原因是设计准备不充分,原始资料不齐全或不准确,设计要则不确定。一方面是业主有时并不清楚我们下在做什么标准的设计,另一方面有时我们也不太准确地了解业主究竟想要什么质量的东西。反复出现的不恰当设计变更一是影响投资效益,二是挫伤设计人员的积极性,干扰设计生产的正常进行。为此我做了两件事: 第一件是反复学习了国家规程、规范有关处理设计变更程序的控制规定,起草了符合总公司工程实施实际情况的《处理设计变更事宜的有关规定》,《规定》经征求意见,院长批准后作为院生产管理制度试行。第二件是注意在接受设计任务时从业主那里把设计要求问清楚,在下达生产计划时,将设计深度和方案要点向设计人员讲清楚。这样做的意义在于通过抓好中间环节,强化项目设计的严肃性和严谨性,实现既便于责任部门对工程项目密切控制,又便于设计人员准确理解任务要求,把握设计要素实现质量目标。 三、适应工程多种管理形式,摸索做好设计配合的方法 这两年,一些重要的工程项目,特别是公司内部项目采取了多种管理形式。如市区管网改造项目采取了内部招标制;内部改造项目采用了使用单位负责制,投资30万元以上项目实行工程监理制等多种管理方式。要适应这样的情况,在设计配合过程中,应特别注意具体工程管理形式的特点,要求设计人员在处理现场问题时,针对不同当事方的职责权力,按规则依程序办事。同时,要注意根据具体的承包单位

2020年终建筑设计师工作总结报告

2020年终建筑设计师工作总结报告 尊敬的上级公司领导以及XX同事们: xx年x月至2xx年x月由总公司任命我担任XX副院长,于是有幸在这个工作岗位上在您们的领导、您们的关照、您们的理解与支持下经历了适应新的设计管理需要,摸索新的工作方法,考验任职能力的两年。现在把两年来我所想的、所做的,分几个方面报告如下: xx年春,当公用事业局牵头提出局系统设计单位联合申报综合甲级资质时,XX院长召集院领导班子慎重研究分析“联合”可能给我们带来的机遇以及可能给我们生产与经营带来的问题。在这个过程中,我始终执积极态度。这包括两个方面:一是将利害关系积极地提出,并建议院长向总公司报告;二是积极的按市政院的要求配合做好报送资质材料的工作,并和院长一起共同研究《承担工程项目划分协议》的起草工作。 虽说“联合”后出现了“失去独立的设计资质”问题,这个问题给总公司的机构改革和我们院的经营运作带来很大障碍,但我们还有别的选择吗?留给我们的问题是怎样培植一个“符合资质”条件的问题。

这两年工程项目(特别是公司内部项目)实施过程中与设计有关的比较突出的是“设计变更”问题。我认为,“设计变更”本是工程实施过程中的正常程序。至于那些属于不恰当“变更”的原因很重要的原因是设计准备不充分,原始资料不齐全或不准确,设计要则不确定。一方面是业主(或项目主管部门)有时并不清楚我们下在做什么标准的设计,另一方面有时我们也不太准确地了解业主(或项目主管部门)究竟想要什么质量的东西。反复出现的不恰当“设计变更”一是影响投资效益,二是挫伤设计人员的积极性,干扰设计生产的正常进行。为此我做了两件事:第一件是反复学习了国家规程、规范有关处理“设计变更”程序的控制规定,起草了符合总公司工程实施实际情况的《处理设计变更事宜的有关规定》,《规定》经征求意见,院长批准后作为院生产管理制度试行。第二件是注意在接受设计任务时从业主(主管部门)那里把设计要求问清楚,在下达生产计划时,将设计深度和方案要点向设计人员讲清楚。这样做的意义在于通过抓好中间环节,强化项目设计的严肃性和严谨性,实现既便于责任部门对工程项目密切控制,又便于设计人员准确理解任务要求,把握设计要素实现质量目标。 这两年,一些重要的工程项目,特别是公司内部项目采取了多种管理形式。如市区管网改造项目采取了内部招标制;内部改造项目采用了“使用单位负责制”,投资3万元以上项目实行“工程监理制”等多种管理方式。要适应这样的情况,在设计配合过程中,应

建筑设计师个人年度工作总结

建筑设计师个人年度工作总结 设计师在经过一定时间的工作后,需要对自己的工作做一个总结。以下是WTT为大家精心整理的建筑设计师个人年度工作总结 ,欢迎大家阅读,供您参考。更多内容请关注。 建筑设计师个人年度工作总结 回顾这几个月来的工作,我在公司领导及各位同事的支持与帮助下,严格要求自己,按照公司的要求,较好地完成了自己的本职工作;在此对公司各位领导及各位同事表示衷心的感谢,感谢公司给我一个展示自己的机会。通过这段时间的工作与学习,在专业技能上、思想上都有了较大的改变,现将这几个月以来的工作情况总结如下: 一、实习阶段的认识与学习 对于刚刚毕业的大学生来说,从事设计工作是机遇也是挑战。我有幸成为XX集团建筑设计院的一员,在刚刚开始工作的这几个月,尽快适应了工作的环境,融入到设计院这个集体中。在领导及各位同事的关怀、支持与帮助下,认真学习钢结构设计知识,不断提高自己的专业水平,积累经验。这期间主要学习了《门式刚架轻型房屋钢结构技术规程》、《钢结构设计手册》、《建筑设计规范》、《结构设计规范》等等,特别是对于钢结构设计的理念,由初步的认识上升到更高层次的水平。这几个月学

了提工程量,工程量报价,门式刚架的设计,通过做这些工作,对钢结构轻型房屋设计的认识逐步提高,各构件的连接与设计更加全面、经济合理。在此期间,通过办理资质升级文件,整理简单的资料,锻炼了耐性,认识到做任何工作都要认真、负责、细心,处理好同事间的关系,与集团各部门之间联系的重要性。 通过不断学习与实践,将所学的理论知识加以应用,逐步提高完善自己的专业技能,领会设计工作的核心,本着XX集团“崇尚完美,追求卓越,精益求精,不遗余力”的企业口号,积极响应、倡导“一家人,一条心,一股劲”的企业信誉精神,为设计院的发展多做贡献。 二、加强自身学习,提高专业知识水平 通过近几个月的实习,使我认识到自己的学识、能力和阅历还很欠缺,所以在工作和学习中不能掉以轻心,要更加投入,不断学习,向书本学习、向周围的领导学习,向同事学习,这样下来感觉自己还是有了一定的进步。经过不断学习、不断积累,已具备了一定的设计工作经验,能够以正确的态度对待各项工作任务,热爱本职工作,认真努力贯彻到实际工作中去。积极提高自身各项专业素质,争取工作的积极主动性,具备较强的专业心,责任心,努力提高工作效率和工作质量。 三、存在的问题和今后努力方向

建筑设计院个人工作总结

建筑设计院个人工作总结 篇一:建筑设计院个人工作总结 建筑设计院个人工作总结 岁月匆匆,又一个年轮飞驰而过,回首XX年,对于自己来说,又积累了一年的经验。在这短暂的一年中,由于公司领导和部门领导的指导和支持,各位同事的帮助努力下,让自己综合能力有了更好的提升,下面我就这今年的工作简要总结如下: 一、学习生活 在学习方面,严格要求自己,认真学习掌握各种专业知识和技能。在生活上,充实而有条理,有严谨的生活态度和良好的生活作风,诚实守信,乐于助人,与同事们相处和睦。 二、主要工作 今年开始,我从建筑设计院规划管理部调往****项目部工作,并全面参与到****项目部这个有战斗力的集体,在公司领导和项目部领导的关心指导下,在同事的帮助支持下,我迅速转换角色、适应环境,以最快速度在业务上上手、在节奏上适应,紧紧围绕公司和项目部工作部署,扎实工作,思想政治素质进一步提高,业务工作能力不断增强,圆满完成了各项任务。现将工作情况报告如下: (一)建筑设计院规划设计部工作 1、在部门当中工作熟悉并关注政府法规条例变化,对

其认真学习,领会其变更的目的。对于即将出台的《****市城乡规划管理技术规定》认真学习,掌握其基本要领,对于政府法规条例做到确实掌握。对于项目方面的工作,主要配合部门服务于各项目公司的技术性工 作。 2、其中负责了建筑设计院提出“光伏光热”技术运用到地产项目上的可行性研究及实际项目参与运作与组织其他合作单位对课题的沟通研究。 3、积极与建筑设计院规划设计部部长及部员参与对于技术服务项目分公司的工作,根据不同项目上的问题,提出不同的参考意见,与部长完成了项目会后的工作总结。 (二)****项目主要工作 1、今年5月本人有幸参与完成了公司****项目前期部分工作,对于****项目前期与政府的对接沟通,在项目领导与合作公司项目专办人员的努力、配合下进行了本年的前期工作,完成了项目控规的报批、地灾报告报批及评审、水保方案报批及评审、配合完成了土地收储前的准备工作。 2、关于规划方面:积极配合规划设计公司的多次对接,讨论、深化规划方案,到****县、****市各规划局进行设计方案的汇报并获得了整改调整意见。 3、林勘查验及调查了立昌村分户、集体房产及土地出租情况,落实****县对重大项目执行一次征收,批次供地的

建筑设计师年度工作总结范文

建筑设计师年度工作总结范文 【一】 我于20XX年初来到集团建筑设计院工作,我通过六个月的试用期,有幸成为集团的 一名员工,回顾这几个月来的工作,我在公司领导及各位同事的支持与帮助下,严格要求 自己,按照公司的要求,较好地完成了自己的本职工作;在此对公司各位领导及各位同事 表示衷心的感谢,感谢公司给我一个展示自己的机会。通过这段时间的工作与学习,在专 业技能上、思想上都有了较大的改变,现将这几个月以来的工作情况总结如下: 一、实习阶段的认识与学习 对于刚刚毕业的大学生来说,从事设计工作是机遇也是挑战。我有幸成为*集团建筑 设计院的一员,在刚刚开始工作的这几个月,尽快适应了工作的环境,融入到设计院这个 集体中。在领导及各位同事的关怀、支持与帮助下,认真学习钢结构设计知识,不断提高 自己的专业水平,积累经验。这期间主要学习了《门式刚架轻型房屋钢结构技术规程》、《钢结构设计手册》、《建筑设计规范》、《结构设计规范》等等,特别是对于钢结构设 计的理念,由初步的认识上升到更高层次的水平。这几个月学了提工程量,工程量报价, 门式刚架的设计,通过做这些工作,对钢结构轻型房屋设计的认识逐步提高,各构件的连 接与设计更加全面、经济合理。在此期间,通过办理资质升级文件,整理简单的资料,锻 炼了耐性,认识到做任何工作都要认真、负责、细心,处理好同事间的关系,与集团各部 门之间联系的重要性。 通过不断学习与实践,将所学的理论知识加以应用,逐步提高完善自己的专业技能, 领会设计工作的核心,本着*集团“崇尚完美,追求卓越,精益求精,不遗余力”的企业 口号,积极响应、倡导“一家人,一条心,一股劲”的企业信誉精神,为设计院的发展多 做贡献。 二、加强自身学习,提高专业知识水平 通过近几个月的实习,使我认识到自己的学识、能力和阅历还很欠缺,所以在工作和 学习中不能掉以轻心,要更加投入,不断学习,向书本学习、向周围的领导学习,向同事 学习,这样下来感觉自己还是有了一定的进步。经过不断学习、不断积累,已具备了一定 的设计工作经验,能够以正确的态度对待各项工作任务,热爱本职工作,认真努力贯彻到 实际工作中去。积极提高自身各项专业素质,争取工作的积极主动性,具备较强的专业心,责任心,努力提高工作效率和工作质量。 三、存在的问题和今后努力方向 这几个月以来,本人能敬业爱岗、不怕吃苦、积极主动、全身心的投入工作中,取得 了一些成绩,但也存在一些问题和不足,主要表现在:

建筑设计工作总结

建筑设计工作总结

建筑设计工作总结 专业技术工作总结 我2010年7月毕业于内蒙古建筑职业技术学院建筑设计技术专业,毕业后在成熟和迷惘的交织中,进入呼和浩特华德工程设计咨询有限责任公司,从事建筑设计工作。 在我眼里,设计院也是一个没有硝烟的战场,它能磨炼人的意志,淡泊人的心灵,业务素质能得到快速提高,人的心理也能快速成熟起来,这无不凝结着每位领导的英名决策和正确领导。 下面从业务素质,外界沟通与内部合作等几个方面来总结自己的专业技术业务。 作为一名建筑设计专业人员,业务能力的提高是重中之重。在参加工作的几年里,通过大量建筑方案设计,初步设计,施工图设计,对建筑设计的操作流程,绘制方法有了较深的认识,对各类建筑规范,设计通则等有了较深的理解与掌握。 具体表现在以下几个方面: 一、方案设计方面 方案能力是一名建筑设计师应必备的重要能力。好的平面方案直接与建筑的适用,经济,美观三大基本要素挂钩。通过对聚龙湾住宅小区、东乌旗住宅小区、乌海市乌达区等各类住宅区的总体规划和方案设计,我住宅小区整体规划和

住宅户型设计立面造型设计等方面有了较为深刻的理解,具备了一定的专业素养。首先了解工程的实际地理情况,实地考察;其次埋头苦干,虚心请教,查阅各类建筑设计规范资料;最后了解房地产开发的动态和走向,与甲方沟通,揣测开发商的商业目的;努力寻求设计师与开发商完美的结合点。 作为设计师,主要通过国家现行规范和当地政府部门规定的容积率,建筑密度,绿化率,建筑高度和间距来宏观控制;基本柱网的确定,消防通道与小区路网的贯通,建筑风格的选定也是一名成熟的设计师必须熟练解决的几个问题。我在工作中特别注意上述问题,并妥善处理,遇到难题时就虚心向领导和资深专家请教,取得了较好的效果,积累了不少宝贵的经验。 二、效果图表现方面 效果图作为一种表现手段,是建筑设计作品最直观的表达方式,它决定着建筑的整体风格,也是决定开发商取舍整套方案的一个很重要的原因,所以我认为效果图的表现是建筑设计前期相当重要的组成部分,也使一名合格建筑师必须掌握的一项基本技能。 在这几年的工作中,通过对华典新城住宅小区、百泉山庄生态旅游园区、成吉思汗大街亮化工程等效果图制作,熟练的掌握3dmax, VRay, photoshop等设计加强对建筑形体,

工程建筑设计师年终工作总结

工程建筑设计师年终工作总结 ★工作总结频道为大家整理的工程建筑设计师年终工作总结范文,供大家阅读参考。阅读请查看本站工作总结频道。 本人###,男,汉族,30岁,XX年毕业于郑州#####学院工民建专业,在校三年,普招大专学历。 本人在毕业后就参加了工作,一直在施工单位从事技术施工,有一定的施工经验,并且有信心把#楼工程圆满地交给业主使用。 工程施工是按照设计图纸把设计师的思想完成从意识形态到实物形态的转变过程,要搞好工程施工,就必须首先熟悉施工图纸,掌握设计师的意图,完成从图纸了解设计意图再回头修订图纸的过程(即完成图纸的施工前会审),其次要强化对图纸的了解程度,熟悉工程的基本概况,考虑具体的施工方案,初步明确工程技术施工的重点、难点,为以后的施工操作行为做准备。 在工程施工过程中,测量放线工作是重中之重,它贯穿整个工程施工的始终,是工程施工的灵魂,要想工程干好,必须把测量放线的工作做好,所以施测、校对、复核的程序就一个都不能少(并且施测、复核的工作要有不同的人来做);

其次要结合整套图纸对各个施工层、施工段、施工点进行校对,避免遗漏工程细小的部位构件;再次,就是检查、落实是否工程的实际操作层的理解与自己的思想一致,发现问题及时沟通,把问题消灭在萌芽状态。 在某一工程段施工完成后,要及时检查,验收,总结经验和教训,把发现的问题及时纠正在下一施工段,减少错误的连续发生。 工程施工是一项非常严谨的工作,工程技术人员必须要把它作为一个自己的艺术产品去雕刻,力求精益求精,要有一个良好的工作作风,要本着对国家(不浪费资源)、对社会、对业主负责的态度去工作,要有“干一项工程,树一座丰碑,赢一片口碑”的决心,论文联盟这样才能把工作作好,才能成为一名合格的工程技术人员。 工程施工是一个群体作业的工作,它不是一个人或几个人就能完成的,它是需要上至质检站、设计院,下至劳动工人的相互紧密配合,才能完成的一项复杂的作业任务,所以,做好相互间的联系配合就显得尤其重要,否则,干好工程就会成为一句空话。 俗话说“皮之不存,毛将焉附”一个打工者如果不考虑老板和公司的经济效益,他就是在自断前程,他就不是一个合格的打工者,早晚会被社会所淘汰。工程施工的工作面大,工作人多,工程要取得一个良好的经济效益,材料管理就显

建筑设计师工作总结三篇

建筑设计师工作总结三篇 在成熟和迷惘的交织中,20xx 悄然流逝了。在我眼里,设计室也是一个没 有硝烟的战场,它能磨炼人的意志,淡泊人的心灵,业务素质能得到快速提高,人的心理也能快速成熟起来,这无不凝结着每位领导的英名决策和正确指导。 年终岁末的时候,人总是特别感叹生命的蹉跎,回首与展望会是两个亘古不变的主题。下面我将从业务素质,外界沟通与内部合作两个大的方面来分析自己一年来的得失。 作为一名建筑专业设计人员,业务能力的提高是重中之重。 20xx 年的半年,通过大量的施工图绘制,我对建筑设计的流程,方法等有了较深的认识,对建筑规范也有了一定的了解。今年年初,我给自己制定的目标是大致具备能独立操作一个一般项目的能力,包括它的总平规划,方案设计,效果图表现,以及后期施工图的设计。能力来源于实践,实践检验能力;一年来,我按照自己既定的目标一步一步地脚踏实地走过来,有些可能达到了,而有些可能离目标还有一定地差距,具体表现在以 下几个方面。

一、总平规划能力: 20xx 年上半年,通过对X 县某住宅小区的总平规划,南充某集资房的总平设计,正兴某商业中心的总平调整以及市中心某商住区的总平规划,我对规划方面的知识有了较为深刻的理解,具备了一定的动手能力。为方便与甲方的沟通,我力争更多地了解房地产开发的动态和走向,用心揣测开发商的商业目的,努力寻求设计师与开发商完美的结合点。作为设计师,主要通过国家现行规范和当地政府部门规定的容积率,建筑密度,绿化率,建筑高度和间距来宏观控制;其次,基本柱网的确定,消防通道与小区路网的贯通,建筑风格的选定也是一名成熟的设计师必须熟练解决的几个问题。我在工作中特别注意上述问题,并妥善处理,遇到难题时就虚心向领导和资深专家请教,取得了较好的效果,积累了不少宝贵的经验。 二、效果图的表现: 效果图作为一种表现手段,是建筑设计作品最直观的表达方式,它决定着建筑的整体风格,也是决定开发商取舍整套方案的一个很重要的原因,所以我认为效果图的表现是建筑设计前期相当重要的组成部分,也使 我必须掌握的一项基本技能。一年来,通过对警备区招待所,某大厦附属

建筑设计工作总结(最新)

在成熟和迷惘的交织中,2019悄然流逝了。在我眼里,设计室也是一个没有硝烟的战场,它能磨炼人的意志,淡泊人的心灵,业务素质能得到快速提高,人的心理也能快速成熟起来,作为一名建筑专业设计人员,业务能力的提高是重中之重。以下是我今年的工作总结。 一、设计工作的认识 通过大量的施工图绘制,我对建筑设计的流程,方法等有了较深的认识,对建筑规范也有了一定的了解。今年年初,我给自己制定的目标是大致具备能独立操作一个一般项目的能力,包括它的总平规划,方案设计,效果图表现,以及后期施工图的设计。能力来源于实践,实践检验能力;一年来,我按照自己既定的目标一步一步地脚踏实地走过来,有些可能达到了,而有些可能离目标还有一定地差距。 二、总平规划能力 通过对xx的总平规划,我对规划方面的知识有了较为深刻的理解,具备了一定的动手能力。为方便与甲方的沟通,我力争更多地了解房地产开发的动态和走向,用心揣测开发商的商业目的,努力寻求设计师与开发商完美的结合点。基本柱网的确定,消防通道与小区路网的贯通,建筑风格的选定也是一名成熟的设计师必须熟练解决的几个问题。我在工作中特别注意这些问题,并妥善处理,遇到难题时就虚心请教,取得了较好的效果,积累了不少宝贵的经验。 三、效果图的表现 效果图作为一种表现手段,是建筑设计作品最直观的表达方式,它决定着建筑的整体风格,也是决定开发商取舍整套方案的一个很重要的原因,所以我认为效果图的表现是建筑设计前期相当重要的组成部分,也使我必须掌握的一项基本技能。一年来,我能熟练的掌握多种设计软件,加以自己对建筑形体的理解,能作出一般的单体效果图,对于大型的商业建筑和小区的鸟瞰图制作还很欠缺,还需要不断的学习和实践。 四、方案能力 方案能力是一名建筑设计师应必备的重要能力。好的平面方案直接与建筑的适用,经济,美观三大基本要素挂钩。一年来,我参与了公司大部分项目平面方案的设计,我跟随设计组的领导一起查阅资料,实地考察,埋头苦干,虚心请教,圆满完成了既定任务。在平面柱网的布置中,我先按自己的想法大致布置结构柱网,再请结构组同事帮忙检查是否合理,无形之中,我增强了结构概念,丰富了专业知识。在独立设计的过程中,我发现了自己的很多不足之处,特别是对立面方案的把握还缺乏基础理论性的认识,这都是在以后的工作中必须首要加强的。

建筑设计师年终个人工作总结

工作汇报/工作计划/设计师工作总结 姓名:____________________ 单位:____________________ 日期:____________________ 编号:YB-ZJ-019606 建筑设计师年终个人工作总结Architectural designer's personal work summary at the end of the

建筑设计师年终个人工作总结 在成熟和迷惘的交织中,2017悄然流逝了。在我眼里,设计室也是一个没有硝烟的战场,它能磨炼人的意志,淡泊人的心灵,业务素质能得到快速提高,人的心理也能快速成熟起来,这无不凝结着每位领导的英名决策和正确指导。 年终岁末的时候,人总是特别感叹生命的蹉跎,回首与展望会是两个亘古不变的主题。下面我将从业务素质,外界沟通与内部合作两个大的方面来分析自己一年来的得失。 作为一名建筑专业设计人员,业务能力的提高是重中之重。 20xx年,通过大量的施工图绘制,我对建筑设计的流程,方法等有了较深的认识,对建筑规范也有了一定的了解。今年年初,我给自己制定的目标是大致具备能独立操作一个一般项目的能力,包括它的总平规划,方案设计,效果图表现,以及后期施工图的设计。能力来源于实践,实践检验能力;一年来,我按照自己既定的目标一步一步地脚踏实地走过来,有些可能达到了,而有些可能离目标还有一定地差距,具体表现在以下几个方面。 一.总平规划能力: 今年上半年,通过对六里街某住宅小区的总平规划,松港某集资房的总平设计,桂大路某商业中心的总平调整以及市中心某商住区的总平规划,我对规划方

面的知识有了较为深刻的理解,具备了一定的动手能力。为方便与甲方的沟通,我力争更多地了解房地产开发的动态和走向,用心揣测开发商的商业目的,努力寻求设计师与开发商完美的结合点。作为设计师,主要通过国家现行规范和当地政府部门规定的容积率,建筑密度,绿化率,建筑高度和间距来宏观控制;其次,基本柱网的确定,消防通道与小区路网的贯通,建筑风格的选定也是一名成熟的设计师必须熟练解决的几个问题。我在工作中特别注意上述问题,并妥善处理,遇到难题时就虚心向领导和资深专家请教,取得了较好的效果,积累了不少宝贵的经验。 二.效果图的表现: 效果图作为一种表现手段,是建筑设计作品最直观的表达方式,它决定着建筑的整体风格,也是决定开发商取舍整套方案的一个很重要的原因,所以我认为效果图的表现是建筑设计前期相当重要的组成部分,也使我必须掌握的一项基本技能。一年来,通过对警备区招待所,某大厦附属天桥,忘忧谷宾馆和某双拼别墅的效果图制作,我能熟练的掌握3dmax,photoshop等设计软件,加以自己对建筑形体的理解,能作出一般的单体效果图,对于大型的商业建筑和小区的鸟瞰图制作还很欠缺,还需要不断的学习和实践。 三.方案能力: 方案能力是一名建筑设计师应必备的重要能力。好的平面方案直接与建筑的适用,经济,美观三大基本要素挂钩。一年来,我参与了公司大部分项目平面方案的设计,在桂湖花园,怡然居,竹韵苑等平面方案的设计中,我跟随设计组的领导一起查阅资料,实地考察,埋头苦干,虚心请教,圆满完成了既定任务。与此期间,受领导重托,我还独立完成了警备区招待所,某双拼别墅和百货大楼,

建筑设计工作总结

年终总结 惊风飘白日,光景西驰流。昔日曹植意气风发,劝人惜时。然而时光亦如流水,总归涛涛而逝。 二零一五年接近尾声,这一年无论是生活还是工作都发生了极大的改变。所以说这一年应该是适应和发展的一年。 首先是今年的政策发生了改变,主要体现在两个方面: 第一:政府房价调控一系列政策的出台,房地产行业的黄金时代已经成为历史,随着固定产登记及房产税的出台,以及党中央反腐力度的加大,令许多炒房者止住了炒房的脚步,开始考虑自己手中的多余房产怎样出手,许多准备买房的群体也都停下了脚步,出于观望状态,等待房价的继续下跌;使得房地产成品房销售量锐减,地产商的库存大增,中国房地产业进入了有史以来的寒冬季节。 第二:建筑设计行业本身的技术性,专业性,责任性均在加大。比如今年开始实施的“工程项目负责人实行质量终身责任制”,“绿色建筑管理体系”,以及今年勘察设计注册的重大调整。无不在传达一个信息----面对“要常抓不懈,更要一抓到底”的重大考验,寒冬过后,到底还有多少设计公司能够活着等来春天。 作为公司的一员,我不仅思考着这些问题,也在极力的做出努力。“在其位谋其政”是我工作的原则之一。 面对现在的经济状况,GDP已经不能支撑实际的金融体系,的确有很多公司、个人还在利用这个机会进行最后一轮的投机。但是这毕竟不是长久之计。第一桶金往往来源与投机,但是想要生财,则必须有过硬的质量资本和灵活的营销模式。 对于一个设计公司,运作公司的成本是相对低廉的。如同万豪酒店的座右铭:员工提供的服务才是生财的根本。设计单位也是如此,我们公司提供的一种服务,给予建设单位一种创新、廉价、高效、低风险的服务过程。设计单位只需要少部分的资金就可以度过寒冬,但是问题是,当春天到来的时候,你能够在第一时间为客户提供他们需要的服务吗? 同样渡过了寒冬的客户,是需要关系好的合作伙伴,还是一个能对政策作出迅速反应,专业的设计团队? 很明显,建筑专业性在逐年加深,比如今年提出的绿色建筑。现在都已经要求所有公共建筑和财政项目必须按绿色建筑实施。对于其他项目,国家也提出了,只要达到绿色建筑相应标准就可以部分补助的相关政策,如果在现在这个时候不把握住手上的工程对绿色建筑实施“实验性”设计。那么,以后可能就是“知其然不知其所以然”的状态了。大部分的工程就会被那些有技术甚至就是提出这个理念的公司占有。 做绿色建筑的设计的确极大的增加了设计成本,比如说在各项专业软件的购买上,在人员的培训上。但是面对整个工程成本的降低,设计单位的本身的成本不值一提,这不是一个“难处”。 更何况互联网和交通发展迅猛的今天,设计已经是一个全球化的工作。如果连现在我们国家的低标准走无法满足,那么其实我觉得作为投资商从建筑运营和市场营销的角度来看,我可能也会考虑更加高效节能的国外设计公司。 作为一个设计人员,想要在自己的工作岗位上体现自己的价值,熟练掌握规范内容是必须具备的基本素质。连几本书都翻不好的人,何来的设计能力呢?我认为将规范死记硬背下来其实没有什么意义,规范放在那里就算到了做这方面的事情的时候再细细阅读都是来得及的。重要的是对规范本身的理解,如果对规范的立意和原则有了一定把握,那么在做设计,尤其是方案设计时会对设计的方向及出发点起到至关重要的作用。有效的规避即将遇到的问题,从而使设计更加游刃有余。而且,了解规范的出发点,会对规范中出现的模拟两可的情况时设计人员如何取舍起到帮助。

建筑设计师个人工作总结范文

建筑设计师个人工作总结范文 1 我于20XX年初来到集团建筑设计院工作,我在公司领导及各位同事的支持与帮助下,严格要求自己,按照公司的要求,较好地完成了自己的本职工作;在此对公司各位领导及 各位同事表示衷心的感谢,感谢公司给我一个展示自己的机会。通过这段时间的工作与学习,在专业技能上、思想上都有了较大的改变,现将这几个月以来的工作情况总结如下: 一、实习阶段的认识与学习 对于刚刚毕业的大学生来说,从事设计工作是机遇也是挑战。我有幸成为*集团建筑 设计院的一员,在刚刚开始工作的这几个月,尽快适应了工作的环境,融入到设计院这个 集体中。在领导及各位同事的关怀、支持与帮助下,认真学习钢结构设计知识,不断提高 自己的专业水平,积累经验。这期间主要学习了《门式刚架轻型房屋钢结构技术规程》、《钢结构设计手册》、《建筑设计规范》、《结构设计规范》等等,特别是对于钢结构设 计的理念,由初步的认识上升到更高层次的水平。这几个月学了提工程量,工程量报价, 门式刚架的设计,通过做这些工作,对钢结构轻型房屋设计的认识逐步提高,各构件的连 接与设计更加全面、经济合理。在此期间,通过办理资质升级文件,整理简单的资料,锻 炼了耐性,认识到做任何工作都要认真、负责、细心,处理好同事间的关系,与集团各部 门之间联系的重要性。 通过不断学习与实践,将所学的理论知识加以应用,逐步提高完善自己的专业技能, 领会设计工作的核心,本着*集团“崇尚完美,追求卓越,精益求精,不遗余力”的企业 口号,积极响应、倡导“一家人,一条心,一股劲”的企业信誉精神,为设计院的发展多 做贡献。 二、加强自身学习,提高专业知识水平 通过近几个月的实习,使我认识到自己的学识、能力和阅历还很欠缺,所以在工作和 学习中不能掉以轻心,要更加投入,不断学习,向书本学习、向周围的领导学习,向同事 学习,这样下来感觉自己还是有了一定的进步。经过不断学习、不断积累,已具备了一定 的设计工作经验,能够以正确的态度对待各项工作任务,热爱本职工作,认真努力贯彻到 实际工作中去。积极提高自身各项专业素质,争取工作的积极主动性,具备较强的专业心,责任心,努力提高工作效率和工作质量。 三、存在的问题和今后努力方向 这几个月以来,本人能敬业爱岗、不怕吃苦、积极主动、全身心的投入工作中,取得 了一些成绩,但也存在一些问题和不足,主要表现在: 第一,刚刚步入社会开始工作,经验不足,特别是设计工作是相对比较艰苦的工作, 在工作中边学习边实践,要多动脑筋,认真看图纸、看懂看透,熟悉设计规范;

建筑设计工作总结

建筑设计工作总结 __________________________________在成熟和迷惘的交织中,20xx悄然流逝了。在我眼里,设计室也是一个没有硝烟的战场,它能磨炼人的意志,淡泊人的心灵,业务素质能得到快速提高,人的心理也能快速成熟起来,这无不凝结着每位领导的英名决策和正确指导。 年终岁末的时候,人总是特别感叹生命的蹉跎,回首与展望会是两个亘古不变的主题。下面我将从业务素质,外界沟通与内部合作两个大的方面来分析自己一年来的得失。 作为一名建筑专业设计人员,业务能力的提高是重中之重。 20xx年的半年,通过大量的施工图绘制,我对建筑设计的流程,方法等有了较深的认识,对建筑规范也有了一定的了解。今年年初,我给自己制定的目标是大致具备能独立操作一个一般项目的能力,包括它的总平规划,方案设计,效果图表现,以及后期施工图的设计。能力来源于实践,实践检验能力;一年来,我按照自己既定的目标一步一步地脚踏实地走过来,有些可能达到了,而有些可能离目标还有一

定地差距,具体表现在以下几个方面。 一. 总平规划能力: 20xx年上半年,通过对郫县某住宅小区的总平规划,南充某集资房的总平设计,正兴某商业中心的总平调整以及市中心某商住区的总平规划,我对规划方面的知识有了较为深刻的理解,具备了一定的动手能力。为方便与甲方的沟通,我力争更多地了解房地产开发的动态和走向,用心揣测开发商的商业目的,努力寻求设计师与开发商完美的结合点。作为设计师,主要通过国家现行规范和当地政府部门规定的容积率,建筑密度,绿化率,建筑高度和间距来宏观控制;其次,基本柱网的确定,消防通道与小区路网的贯通,建筑风格的选定也是一名成熟的设计师必须熟练解决的几个问题。我在工作中特别注意上述问题,并妥善处理,遇到难题时就虚心向领导和资深专家请教,取得了较好的效果,积累了不少宝贵的经验。 二. 效果图的表现: 效果图作为一种表现手段,是建筑设计作品最直观的表达方式,它决定着建筑的整体风格,也是决定开发商取舍整

相关文档
相关文档 最新文档