文档库 最新最全的文档下载
当前位置:文档库 › 可预置定时电路的设计

可预置定时电路的设计

可预置定时电路的设计
可预置定时电路的设计

可预置定时电路课程设计报告

一.设计要求

1、设计一个可灵活预置时间的计时电路,要求具有时间显示功能,能准确预置清零。

2、设置外部操作开关,控制计时器的直接清零、启动和暂时|连续计时。

3、要求计时电路递减计时,每隔一秒,计时器减1。

4、当计时器递减时间到零(即定时时间到)时,显示器上显示00,同时发光

电报警信号。

二.设计的作用、目的

熟悉集成同步十进制加/减计数器的工作原理。掌握555定时器的工作原理、集成电路的使用方法、集成电路的引脚安排、各集成芯片的逻辑功能及使用方法。在日常生活和工作中,我们常常使用都定时控制,如交通灯定时等等等。随着电子技术的发展,控制电路的需求越来越大。可以使用使用基本可预置定时电路构成其他我们生活中应用广泛的电子设备。

三.设计的具体实现

1.系统概述

定时器由启动电路、秒脉冲发生器、预置输入电路、计数器、译码显示电路、报警电路和控制电路共7部分组成。

基本框图如下图所示:

图1

其中译码电路和控制电路是系统的主要部分。计数器完成计时功能,而控制器完成计数器的直接清零、启动计数、暂时功能。通过设置开关或按键电路可以对定时时间进行预置,这部分需要编码器。通过编码后,送到计数器预置端作为计数的时间。根据题目要求这部分应采用减计数。在计数同时,还需要对所计时间进行显示,所以需要译码显示电路,显示器用LED。

对于本模块的器件选用,计数器选用74LS192进行设计。74LS192是十进制可编程同步加1减计数器,它采用8421码二—十进制编码,并具有直接清零、置数、加1减计数功能。

报警电路在实验中可以用发光二极管来代替。

2.电路分析与设计

A:器件选择

(1) 十进制可逆计数器74LS192 74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图2所示:

图2 74LS192的引脚排列及逻辑符号

图中:为置数端,为加计数端,为减计数端,为非同步进位输出端,为非同步借位输出端,P0、P1、P2、P3为计数器输入端,

为清除端,Q0、Q1、Q2、Q3为数据输出端。其功能表如下

输入输出

P3 P2 P1 P0 Q3 Q2 Q1 Q0

MR

1 ×××××××0 0 0 0

× d c b a d c b a

0 0

×

0 1

××××加计数

1

1 74LS192的功能表

(2)555定时器

双极型定时器CB555电路结构图。它是由比较器C 1和C 2,基本RS 触发器和集电极开路的放电三极管T D 三部分组成。

555定时器是一种中规模集成电路,只要在外部配上适当阻容元件,就可以方便地构成脉冲产生和整形电路。其结构框图如图3所示:

图3 结构框图

图中的数码1—8为器件引脚的编号。555定时器符号如下所示:

0 1 1 × × × × 减计数

1).模拟功能部件

①电阻分压器

经 3 个 5 kΩ电阻分压后提供基准电压:

当不接固定电压时, =2/3Vcc,=1/3Vcc

当外接固定电压时, =,= 1/2Vco

②电压比较器C1和C2

比较器:TH(阈值输入端)>基准电压时,输出=0,否则为1

比较器:(阈值输入端)<基准电压时,输出=0,否则为1

③集电极开路的放电管V

输出=0时,V导通,输出=1时,V截止。相当于一个受控电子开关。2).逻辑功能部件

①和组成基本RS触发器。输入低电平有效触发。

=0,=1,置0,Q=0,=1

=0,=1.置0,Q=1,=0

=1,Uc2=1 ,保持。 ②输出缓冲级

Q=0,=1 时,输出=0 Q=1,=0 时,输出=1

③D 为直接置0端

D=0,输出

便为低电平

正常工作时,D 端必须为高电平。 3).逻辑功能

①R D 的为低电平有效的直接置0端 ②

U

I 1

TH(阈值输入端)>基准电压时,称为触发置0 ③TR U I 2(触发输入端)>基准电压时,称为触发置1

4).基本功能

当0=R 时,1=Q ,输出电压OL o V V =为低电平,VT 饱和导通。

当1=R 时,CC TH V V 32>时,CC TR V V 3

1

>时,C1输出低电平,C2输出高电平,

1=Q ,Q =0,OL o V v =,D T 饱和导通。

当1=R 、CC TH V V 32<、CC TR V V 3

1

>时,C1、C2输出均为高电平,基本RS 触

发器保持原来状态不变,因此o v 、VT 也保持原来状态不变。

当1=R 、CC TH V V 32<、CC TR V V 3

1

<时,C1输出高电平,C2输出低电平,0=Q ,

Q =1,OH o V v =,VT 截止。

表2 555 定时器的功能表

输入

输出

阀值输入(V I1) 触发输入(V I2)

复位(R D )

输出(Vo )

放电管T x

x

0 0 导通 3

2Vcc

<

3

Vcc

<

1

1

截止

32Vcc

>

3Vcc

>

1 0 导通 32Vcc <

3Vcc >

1

不变

不变

(3).74LS04

由下图可发现,当输入为高电平时输出等于低电平,而输入为低电平时输出等于高电平。因此输出与输入的电平之间是反向关系,它实际上就是一个非门。(亦称反向器)。

当输入信号为高电平时,应保证三极管工作在深度饱和状态,以使输出电

平接近于零。为此,电路参数的配合必须合适,保证提供给三极的基极电流大于 深度饱和的基极电流。

设计电路所用的芯片是74LS04,如下图所示:

图4:六位反相器74LS04引脚图

(4).74LS00

74LS00 是四2输入与非门,其逻辑功能表如下:

表3与非门逻辑功能表

74LS00内部结构原理如下图:

图5 74LS00内部结构

(5)基本RS触发器

电路结构:

把两个与非门G1、G2 的输入、输出端交叉连接,即可构成基本RS 触发器,其逻辑电路如图12(a)所示。它有两个输入端R、S 和两个输出端Q、Q。

图6 基本RS触发器

工作原理:

基本RS 触发器的逻辑方程为:

根据上述两个式子得到它的四种输入与输出的关系:

1).当R=1、S=0 时,则Q=0,Q=1,触发器置1。

2).当R=0、S=1 时,则Q=1,Q=0,触发器置0。

(6)74LS10三输入与非门

74LS10三输入与非门内部结构原理图和真值表如下:

图7 74LS10三输入与非门内部结构原理图和真值表

(7)74LS190同步可预置数十进制加减计数器

74LS190同步可预置数十进制加减计数器结构如图:

图8 74LS190结构图

74LS74190功能表:

输入输出

CTEN LOAD D/U DCBA CP

×0 ×dcba ×异步预置

× 1 0 ↑加计数

0 1 1 ↑减计数

1 1 ××保持

表5 74LS功能表

74LS190动作时序图如图7

74LS190是同步可预置数加减十进制计数器,符号与动作时序图如上图,它具有异步指数端LOAD、加减控制端D/U和计数控制端CTEN,为了方便级联,设置了两个级联输出端RCO和MAX/MIN。

其各个控制端功能详见其功能表(表5)

图9 74LS190动作时序图

(8).数码管译码器

七段LED显示译码器加法器定义实现多位二进制数相加的电路称为加法器,它能解决二进制中1+1=10 的功能。

因为计算机输出的是BCD码,要想在数码管上显示十进制数,就必须先把BCD

码转换成 7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”。

74LS48除了有实现7段显示译码器基本功能的输入(DCBA)和输出(Ya~Yg)端外,7448还引入了灯测试输入端(LT)和动态灭零输端(RBI),以及既有输入功能又有输出功能的消隐输入/动态灭零(BI/RBO)端。

由7448真值表可获知7448所具有的逻辑功能:

1)7段译码功能(LT=1,RBI=1)

在灯测试输入端(LT)和动态灭零输入端(RBI)都接无效电平时,输入DCBA

经7448译码,输出高电平有效的7段字符显示器的驱动信号,显示相应字符。除DCBA = 0000外,RBI也可以接低电平,见表1中1~16行。

2)消隐功能(BI=0)

此时BI/RBO端作为输入端,该端输入低电平信号时,表1倒数第3行,无论LT 和RBI输入什么电平信号,不管输入DCBA为什么状态,输出全为“0”,7段显示器熄灭。该功能主要用于多显示器的动态显示。

3)灯测试功能(LT = 0)

此时BI/RBO端作为输出端,端输入低电平信号时,表1最后一行,与及DCBA

输入无关,输出全为“1”,显示器7个字段都点亮。该功能用于7段显示器测试,判别是否有损坏的字段。

4)动态灭零功能(LT=1,RBI=1)

此时BI/RBO端也作为输出端,LT 端输入高电平信号,RBI 端输入低电平信号,若此时DCBA = 0000,表1倒数第2行,输出全为“0”,显示器熄灭,不显示这个零。DCBA≠0,则对显示无影响。该功能主要用于多个7段显示器同时显示时熄灭高位的零。

引脚如下图:

图10 74LS48引脚图

(9)七段数码显示管

数码管的一种是半导体发光器件,数码管可分为七段数码管和八段数码管,区别在于八段数码管比七段数码管多一个用于显示小数点的发光二极管单元DP (decimal point),其基本单元是发光二极管。

图13(a)(b)为共阴管电路和共阴数码管引出脚功能图。

图11 引出脚功能图

B.功能模块电路单元

(1).秒脉冲发生电路

首先设计定时电路由于555定时芯片是一种常用的定时芯片。原理简单易懂,因此选用555芯片来产生时钟脉冲信号。如下图所示用555定时器和74ls190芯片经过3次分频将1khz分频成为1hz 即为1s 即1s定时电路设计成功。

图8 秒脉冲发生电路

(2).辅助预置电路:

为了保证系统的设计要求,在设计控制电路时,应正确处理各个信号之间的

时序关系,从系统控制要求可知,控制电路要完成以下4 项功能。

1)操作“直接清零”开关时,要求计数器清零。

2) 闭合“启动”开关时,计数器应完成置数功能,显示器显示预置数

据;断开“启动”开关时,计数器开始进行递减计数。

3)当“暂停|连续”开关处于“暂停”位置时,控制电路封锁时钟脉冲信号CP,计数器暂停计数,显示器上保持原来的数不变,当“暂停

4)当计数器递减计数到零(即定时时间到)时,控制电路应发出报警信号,使计数器保持零状态不变,同时报警电路工作。

图9启动、暂停、连续控制电路

(3)预置、计数、显示电路

通过设置开关或按键电路可以对定时时间进行预置,这部分需要编码器。通

过编码后,送到计数器预置端作为计数的时间。根据题目要求这部分应采用减计数。在计数同时,还需要对所计时间进行显示,所以需要译码显示电路,显示器用LED。

采用74LS48来作为译码显示电路。

对于本模块的器件选用,计数器选用74LS192 进行设计较为简便,74LS192

是十进制可编程同步加|减计数器,它采用8421 码二—十进制编码,并具有直接清零、置数、加|减计数功能。(控制左侧开关可实现清零,控制右侧开关可实现对0到99内的任意数进行预置数)

在其输入端接入单刀双掷开关控制器高低电平可实现其预置数功能。

图 10 计数器预置电路(4)总体电路如下图

四.心得体会及建议

一段时间的课程设计让我们有机会把课堂上学到的知识在实践中检验,通过这段时间de初步应用,我知道自己应该学一些什么方面的知识和理论,就我现在的水平,还要许多的努力。对于元件的型号种类等等都不是很了解。尤其是每个型号元件的参数。所以这机是一个很大的空洞,另外对于《数字电子技术》这一门课程,虽然懂一点,但是还是要努力的学习,才能够在实践中不那么盲目。我认为在以后的学习生活中,我将更加重视课堂上的理论学习,只有有坚强理论才可以负之更好的实践。

另外选择元件中,可能找不到电路图中设计的元件,现实中的元件属性可能和电路原理图中不同,这就需要随时际情况修改电路图,以达到设计目的。比如电阻可能没有合适的阻值,这是可以用不同电阻串联,或用电位器来达到所需的阻值。数码管译码器如果没有74LS48,也可以用74LS248代替。

这次的课程设计让我收获很大,我了解了Protel软件,增进了我对数电的学习兴趣。同时加强了我的动手能力,提高了解决实际问题的能力,对我以后的工作与学习有很大的帮助。

但是在实际的操作中会发现知识太过匮乏,还有太多不懂且需要学习的地方。在今后的学习中,还是需要脚踏实地的去做,去吸收太多自己还不知道的东西。

五.附录

型号名称数目74LS48 译码显示 2 74LS192 十进制可逆计数器 2 555定时器国产双极型定时器 1 LED 数码显示器 2 74LS00 二输入与非门 2 74LS04 非门(反相器) 2 74LS10 三输入与非门 1 74LS190 同步可预置十进制加减计数器 3

六.参考文献

[1]陈旭昀,苏腾,李蔚. 自定时电路设计技术[J]. 半导体技术,1996,03:41-44.

[2]甄俊,王庚. 可预置的定时显示报警系统[J]. 中南民族学院学报(自然科学版),1997,01:32-35.

[3]沙占为. 高精度可预置定时器的电路设计[J]. 今日电子,1995,06:107-110.

[4]徐湘宁,王玲. 用于功率源的定时电路设计[J]. 信息化研究,2010,06:39-40+61.

[5]马明涛,邬春明. 数字电子技术. 西安电子科技大学出版社.2011年9月.

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

可预置定时电路的设计

可预置定时电路课程设计报告 一.设计要求 1、设计一个可灵活预置时间的计时电路,要求具有时间显示功能,能准确预置清零。 2、设置外部操作开关,控制计时器的直接清零、启动和暂时|连续计时。 3、要求计时电路递减计时,每隔一秒,计时器减1。 4、当计时器递减时间到零(即定时时间到)时,显示器上显示00,同时发光 电报警信号。 二.设计的作用、目的 熟悉集成同步十进制加/减计数器的工作原理。掌握555定时器的工作原理、集成电路的使用方法、集成电路的引脚安排、各集成芯片的逻辑功能及使用方法。在日常生活和工作中,我们常常使用都定时控制,如交通灯定时等等等。随着电子技术的发展,控制电路的需求越来越大。可以使用使用基本可预置定时电路构成其他我们生活中应用广泛的电子设备。 三.设计的具体实现 1.系统概述 定时器由启动电路、秒脉冲发生器、预置输入电路、计数器、译码显示电路、报警电路和控制电路共7部分组成。 基本框图如下图所示: 图1

其中译码电路和控制电路是系统的主要部分。计数器完成计时功能,而控制器完成计数器的直接清零、启动计数、暂时功能。通过设置开关或按键电路可以对定时时间进行预置,这部分需要编码器。通过编码后,送到计数器预置端作为计数的时间。根据题目要求这部分应采用减计数。在计数同时,还需要对所计时间进行显示,所以需要译码显示电路,显示器用LED。 对于本模块的器件选用,计数器选用74LS192进行设计。74LS192是十进制可编程同步加1减计数器,它采用8421码二—十进制编码,并具有直接清零、置数、加1减计数功能。 报警电路在实验中可以用发光二极管来代替。 2.电路分析与设计 A:器件选择 (1) 十进制可逆计数器74LS192 74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图2所示: 图2 74LS192的引脚排列及逻辑符号 图中:为置数端,为加计数端,为减计数端,为非同步进位输出端,为非同步借位输出端,P0、P1、P2、P3为计数器输入端,为清除端,Q0、Q1、Q2、Q3为数据输出端。其功能表如下 输入输出 MR P3 P2 P1 P0 Q3 Q2 Q1 Q0 1 ×××××××0 0 0 0 0 0 × d c b a d c b a × ××××加计数 0 1 1

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

定时控制电路

4.2基本原理及总体方案框图 4.3单元电路的设计和元件的选择 4.3.1秒脉冲发生器---------------------------------------2 4.3.2分秒计数器-----------------------------------------4 4.3.3显示电路-------------------------------------------6 4.3.4状态控制电路---------------------------------------8 4.3.5停止控制电路--------------------------------------9 4.4总体原理图--------------------------------------10 4.5 仿真结果------------------------------------------------11 4.5.1多谐振荡器输出波形----------------------------------11 4.5.2定时仿真结果----------------------------------------11 4.5.3正转仿真结 ------------------------------------------12

4.5.4反转仿真结 ------------------------------------------12 4.5.5暂停仿真结果 ----------------------------------------13 4.5.6停止仿真结果 ----------------------------------------13

基于555定时器闪光电路设计及制作

基于555定时器闪光电路设计与制作 我们主张,电子初学者要采用万能板焊接电子制作作品,因为这种电子制作方法,不仅能培养电子爱好者的焊接技术,还能提高他们识别电路图和分析原理图的能力,为日后维修、设计电子产品打下坚实的基础。 本文介绍555定时器的结构、引脚功能以及构成单稳态触发器、多谐振荡器、施密特触发器等电路,进一步掌握集成电路的使用方法,并利用多谐振荡器产生的脉冲信号控制二个发光二极管实现闪光电路。 一、基于555定时器闪光电路功能介绍 每辆车上电子装置在整个汽车制造成本中所占的比例由16%增至23%以上,目前电子技术的应用几乎已经深入到汽车所有的系统。汽车上的左、右闪光灯就是最普通的电子产品,今天我们就来学习如何使用555定时器设计闪光电路。 本制作套件就是利用555定时器设计的多谐振荡器,进而构成闪光电路,如图1所示。 图1 基于555定时器闪光电路成品图

二、基于555定时器闪光电路原理图 图2 基于555定时器闪光电路原理图 三、基于555定时器闪光电路工作原理 1、可调电阻的特性及用法 可调电阻也叫可变电阻,是电阻的一类,其电阻值的大小可以人为调节,以满足电路的需要。可以逐渐地改变和它串联的用电器中的电流,也可以逐渐地改变和它串联的用电器的电压,还可以起到保护用电器的作用。

图3 可调电阻100K可调范围 电位器是可调电阻的一种,通常是由电阻体与转动或滑动系统组成,即靠一个动触点在电阻体上移动,获得部分电压输出。 电位器的电阻体有两个固定端,通过手动调节转轴或滑柄,改变动触点在电阻体上的位置,则改变了动触点与任一个固定端之间的电阻值,从而改变了电压与电流的大小。

数字电路课程设计报告

课程设计任务书 学生姓名:吴培力专业班级:信息SY1201 指导教师:刘可文工作单位:信息工程学院 题目: 数字式电子锁的设计与实现 初始条件: 本设计既可以使用集成电路和必要的元器件等,也可以使用单 片机系统构建数字密码电子锁。自行设计所需工作电源。电路组成 原理框图如图1,数字密码锁的实际锁体一般由电磁线圈、锁栓、 弹簧和锁柜构成。当线圈有电流时,产生磁力,吸动锁栓,即可开 锁。反之则不开锁。 图1 数字式电子锁原理框图要求完成的主要任务:(包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)课程设计中,锁体用LED代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 2)其密码为4位二进制代码,密码可以通过密码设定电路自行设定。 3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,可重复进行,若连续三次未将锁打开,电路则报警并实现自锁。(报警动作为响1分钟,停10秒) 4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、年月日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、年月日至年月日,方案选择和电路设计。 3、年月日至年月日,电路调试和设计说明书撰写。 4、年月日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

数字日历电路设计数字电子技术课程设计报告

数字电子技术课程设计报告设计题目:数字日历

班级:计算机1202 数字电子技术课程设计报告 课程设计任务书

I 数字电子技术课程设计报告 要摘 每页显示一日信用于记载日期等相关信息。日历是一种日常使用的出版物,有多每页显示全年信息的叫年历。息的叫日历,每页显示一个月信息的叫月历,种形式,如挂历、座台历、年历卡等,如今又有电子日历。逢年过节,往往会送亲友日历已显亲情友情可日历在现代社会中是很重要在设计日历倒计而纸制日历对森林保护不利,因此设计电子日历意义重大。的。时器时,采用了模块化的思想,使得设计简单、易懂。本设计能进行月、日、星期的的计数,在社会生活中具有实际的应用价值。 关键字:日历

II 数字电子技术课程设计报告 目录 课程设计任务书............................................................................................................. I 摘要........................................................................................................................... II 1.概述 (1) 2.课程设计任务及要求 (1) 2.1 设计任务 (1) 2.2 设计要求 (1) 3.理论设计 (1) 3.1方案论证 (2) 3.2 系统设计 (2) 3.2.1结构框图及说明 (2) 3.2.2系统原理图及工作原理 (3) 3.3 单元电路设计 (5) 3.3.1单元电路工作原理 (5) 3.3.2元件参数选择 (10) 4. 软件仿真................................................................................................................. 11 4.1 仿真电路图 (11) 4.2 仿真过程 (12) 4.3 仿真结果 (12) 5.安装调试................................................................................................................... 13 5.1安装调试过程 (13)

数字电路课程设计弹道设计

淮海工学院 课程设计报告书 课程名称:电子技术课程设计(二)题目:弹道计时器设计 系(院): ////// 学期: 2010-2011-1 专业班级: 88 姓名: 999999 学号: 555555

一、所选课题: 弹道计时器的设计 二、任务与要求 设计一个用来测量手枪子弹等发射物速度的便携式电池供电计时器,这种计时器可用来测定子弹或其他发射物的速度。竞赛射手通常用这种设备来测定装备的性能。 基本操作要求是:射手在两个分别产生起始测量脉冲和终止测量脉冲的光敏传感器上方射出一个发射物,两个光传感器(本例中假定为阴影传感器)分开放置,两者之间的距离已知。发射物在两个传感器之间的飞行时间直接与发射物的速度成正比。如下图所示,当子弹等发射物从上方经过起始传感器产生ST 信号,经过终止传感器时产生SP 信号。传感器之间的距离是固定的。通过测量子弹等发射物经过传感器之间的时间T 就可计算出子弹的速度V=S/t 。 图1 三、方案制定 使用中规模集成电路设计弹道计时器。此方案中主要用到555定时器、十进制计数器、译码器、七段数码管以及一些小型门电路和触发器等。 四、弹道计时器的原理 运用中规模集成电路设计本课题要分为一下几点: (1)传感器对计数器的控制。 在传感器的选择上,要注意传感器的输出信号能否直接控制下一级电路。此论文中采用天幕靶控制计数器的工作与停止。天幕靶是一种光电传感器,它能将光信号转变成电信号,在子弹遮蔽第一个天幕靶时,即会产生一个脉冲,此脉冲带动计数器工作,在子弹遮蔽下一个天幕靶时又产生一个脉冲,让计数器停止工作。若将此脉冲作为使能信号, 就必须使其从子弹到达第一个天幕靶一直维持到 起始传感器 终止传感器 阳光 弹道

定时控制器逻辑电路设计62777

一概述 为了能使仪器在特定的时间内工作,通常需要人在场干预才能完成。本课题设计的定时器,就是能使你不在时,仪器也能按时打开和关闭。例如你想用录音机、录像机录下某一时间断的节目,而这一段时间你又有其他事要做,不在家或机器旁边,你就可以实现预置一下定时器。在几点几分准时打开机器,到某时某刻关掉机器。数字钟是采用数字电路实现对时、分、秒数字显示的计时装置,以其显示的直观性、走时准确稳定而受到人们的欢迎,广泛用于个人家庭、车站、码头、办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便,诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、通断动力设备、以及各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。 定时控制器由供电单元、数字钟单元、定时单元以及控制输出单元等几部分组成.如图1所示为定时控制器系统框图。 图1

二.设计任务和要求 设计一个带数组电子钟的定时控制器逻辑电路,具体任务要求如下: 1.可设定定时启动(开始)时间与定时结束(判定)时间 2.定时开始,指示灯亮;定时结束,指示灯灭 3.定时范围可以选择 4.具有电子钟功能,显示为四位数 三.电路设计 数字钟一般由振荡器、分频器、计数器、译码器、显示器等几部分组成。这些都是数字电路中应用最广的基本电路。石英晶体振荡器产生的时标信号送到分频器,分频电路将时标信号分成每秒一次的方波秒信号。秒信号送入计数器进行计时,并把累计的结果以“时”、“分”、“秒”的数字显示出来。“秒”的显示由两级计数器和译码器组成的六十进制计数电路实现;“分”的显示电路与“秒”相同,“时”的显示由两级计数器和译码器组成的二十四进制计数电路实现。所有计时结果结果由六位数码管显示。 3.1石英晶体振荡器 振荡器是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间冲。 振荡器振荡频率的精度与稳定度基本上决定了钟的准确度。 振荡器是由石英晶体,微调电容与集成反相器等元件构成。石英晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。

数字日历电路设计数字电子技术课程设计报告word精品

数字电子技术课程设计报告设计题目:数字日历 班级:计算机1202

设计目的: 1. 进一步熟悉中、小规模数字集成电路的工作原理及使用方法。 2. 掌握小型数字系统的设计、组装与调试方法。 设计内容: 用常用的中、小规模数字集成电路设计一个小型数字系统,自行设计、完成系统的功能。 设计要求: 1. 理论设计部分 ⑴独立完成系统的原理设计。说明系统实现的功能,应达到技术指标,进行方案论证,确定设计方案。 ⑵画出电路图,说明各部分电路的工作原理,初步选定所使用的各种器件的主要参数及型号,列出元器件明细表。 ⑶系统中包含的中、小规模集成电路的种类至少在六种以上。 2. 模拟仿真 ⑴根据理论设计用multisim 10在计算机上进行仿真。验证所设计方案的正确性。⑵分析电路的工作原理,写出仿真报告。 3. 安装调试部分 ⑴实现所设计的小型数字系统,并进行单元测试和系统调试,完成系统功能。 ⑵若系统出现故障,排除系统故障,分析并记录系统产生故障的原因,并将此部分内容写在

报告中。 摘要 日历是一种日常使用的出版物,用于记载日期等相关信息。每页显示一日信息的叫日历,每页显示一个月信息的叫月历,每页显示全年信息的叫年历。有多种形式,如挂历、座台历、年历卡等,如今又有电子日历。 逢年过节,往往会送亲友日历已显亲情友情可日历在现代社会中是很重要的。而纸制日历对森林保护不利,因此设计电子日历意义重大。在设计日历倒计时器时,采用了模块化的思想,使得设计简单、易懂。本设计能进行月、日、星 期的的计数,在社会生活中具有实际的应用价值。 关键字:日历

目录 课程设计任务书.............................................................. 1...摘要........................................................................... II 1. 概述..................................................................... 1.1 2. 课程设计任务及要求...................................................... 1. 2.1设计任务............................................................ 1. 2.2设计要求............................................................ 1. 3理论设计.................................................................. 1.. 3.1方案论证............................................................ 2. 3.2系统设计............................................................ 2. 3.2.1结构框图及说明 (2) 3.2.2系统原理图及工作原理 (3) 3.3单元电路设计........................................................ 5. 3.3.1单元电路工作原理 (5) 3.3.2元件参数选择 (10) 4. 软件仿真 (11) 4.1仿真电路图 (11) 4.2仿真过程 (12) 4.3仿真结果 (12) 5. 安装调试................................................................ .13 5.1安装调试过程....................................................... 1.3 5.2安装调试结果....................................................... 1.4 5.3故障分析........................................................... 1.5 6. 结论 (16) 7. 使用仪器设备清单 (17) 8. 参考文献................................................................ 1.7

倒计时定时器设计

一、倒计时定时器设计 1、20秒、30分钟到计时计数器 1、1 设计要求: 20s倒计时定时器:倒计时由按钮启动,计时精度0.1s,在数码管中显示倒计时值。 30分钟倒计时定时器:倒计时由按钮启动,计时精度1s,在数码管中显示倒计时值。 1、2设计的作用目的: 此次设计是我们更进一步了解基本电路的设计流程,提高自己的设计理念,丰富自己的理论知识,巩固所学知识,使自己的动手动脑能力有更进一步提高,为自己今后的学习和工作打好基础,为自己的专业技能打好基础。通过解决实际问题,巩固和加深“单片机原理与应用”课程中所学的理论知识和实验能力,基本掌握单片机应用电路的一般设计方法,提高电子电路的设计和实验能力,加深对单片机软硬知识的理解,获得初步的应用经验,为以后从事生产和科研工作打下一定的基础。本次设计注重对单片机工作原理以及键盘控制及显示原理的理解,以便今后自己在单片机领域的学习和开发打下基础,提高自己的动手能力和设计能力,培养创新能力,丰富自己的理论知识,做到理论和实践相结合。本次设计的重要意义还在于对单片机的内部结构和工作状态做更进一步的了解,同时还对单片机的接口技术,中断技术,存储方式和控制方式作更深层次的了解。 1、3问题分析: 在电子技术飞速发展的今天,电子产品的人性化和智能化已经非常成熟,其发展前景仍然不可估量。如今的人们需求的是一种能给自己带来方便的电子产品,当然最好是人性化和智能化的,如何能做到智能化呢?单片机的引入就是一个很好的例子。单片机又称单片微型计算机,也称为微控制器,是微型计算机的一个重要分支,单片机是20世纪70年代中期发展起来的一种大规模集成电路芯片,是集CPU,RAM,ROM,I/O接口和中断系统于同一硅片上的器件。单片机的诞生标志着计算机正式形成了通过计算机系统和嵌入式计算机系统两个分支。目

可预置30S的定时显示报警系统课设

沈阳工程学院 课程设计 设计题目:可预臵30S的定时显示报警系统 系别自动化学院班级测本 学生姓名学号 指导教师职称讲师 起止日期:2014年9月1日起——至 2014年9月5日止

沈阳工程学院 课程设计任务书 课程设计题目:可预臵30S的 定时显示报警系统 系别自动化学院班级 学生姓名 学号 指导教师职称讲师 课程设计进行地点: F303 任务下达时间:2014 年 8 月 31 日 起止日期:2014 年 9 月1日起——至 9 月 5 日止 教研室主任 2014 年 8 月 31 日批准

目录 课程设计(论文)任务书................................................................................................................................... I 沈阳工程学院............................................................................................................................................ I I 数字电子技术课程设计成绩评定表.................................................................................................................. I I 中文摘要.. (1) 1.1设计题目:可预置的显示报警系统之二 (2) 1.2 设计要求 (2) 1.2.1 设计目的 (2) 1.2.2 基本要求 (2) 1.2.3 发挥部分 (2) 2 设计思路 (3) 3 设计方框图 (4) 4 各部分电路设计及参数计算 (5) 4.1 振荡器 (5) 4.2 分频器 (6) 4.3 计数器 (7) 4.4 报警电路 (9) 4.5 锁存器 (9) 4.6 译码器 (11) 4.7 显示器 (13) 5 工作过程分析 (14) 6 元器件清单 (15) 7 主要元器件介绍 (16) 7.1 555定时多谐振荡器 (16) 7.2 计数器 (17) 7.3 译码器 (18) 小结 (20) 致谢 (21) 参考文献 (22) 附录A1 逻辑电路图 (23)

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字日历电路设计EDA实训

成绩 批阅教师 日期 桂林电子科技大学 实训报告 2016-2017学年第1学期 学院海洋信息工程学院 课程EDA综合实训 姓名钟朝林

学号 1416030218 指导老师覃琴 日期2016/12/29 实训题目:数字日历电路的设计 1 概述 1.1 设计要求 1.1.1 设计任务 设计并制作一台数字日历。 1.1.2 性能指标要求 ①用EDA实训仪的I/O设备和PLD芯片实现数字日历的设计。 ②数字日历能够显示年、月、日、时、分和秒。 ③用EDA实训仪上的8只八段数码管分两屏分别显示年、月、日和时、分、秒,即在一定时间段内显示年、月、日(如20080101),然后在另一时间段内显示时、分、秒(如00123625),两个时间段能自动倒换。 ④数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时,依此类推。 1.2 总体设计基本原理及框图 1.2.1 基本原理 日历主要由年月日模块、时分秒模块、控制模块、显示模块、校时模块组成。采 用3个公用按钮j1、j2、和j3完成时分秒或年月日的校时,用8只七段数码管分 时完成时分秒或年月日的显示。设计电路的计时器模块(jsq24)用于完成一天 的24小时计时;年月日模块接收计时器模块送来的“天”脉冲进行计数,得到 日月年的显示结果,控制模块产生控制信号k,控制数码显示器显示年月日,还 是时分秒,或者自动轮流显示;校时选择模块在k信号的控制下,选择将j1、j2 和j3这3个校时按钮产生的信号是送到计时器模块的校秒、校分和校时输入端, 还是送到年月日模块的校天、校月、校年输入端;显示选择模块在k信号的控制 下,选择是将计时器模块的时、分、秒状态信号,还是将年月日模块的年、月、 日状态信号送到数码管显示器显示。 1.2.2 总体框图

可预置时间的定时电路

吉林师范大学信息技术学院课程设计报告 课程名称;数电课程设计 设计题目:可预置时间的定时电路姓名: 专业:电气信息类 班级:级班 学号: 指导教师: 2010年9月6日

吉林师范大学信息技术学院 课程设计题目审批表 课程名称:数电电路课程设计

课程设计题目名称 姓名:指导教师: 摘要:本设计主要介绍555定时器产生多谐振荡器,而且用数码管显示相应的数值,并且可以自己预置时间,电路自动完成清零的功能,本设计预置的是80秒时间,并且发光二极管会发光,用74192来做减计数器。 关键词:振荡器,数码管 Abstract:This design introduces the 555 timer produces more harmonic oscillator, and digital display with the corresponding values, and can own preset time, the circuit automatically reset function, the design of a preset 80 seconds, and light-emitting diode will shine, with 74,192 to do by the counter. Keyword:Oscillator, the digital control 正文:一电路设计 1 方案比较及设计 (1)用石英晶体振荡器:由于石英晶体振荡器产生的频率很高,至少都为几兆赫兹,我们必须采用分频电路得到秒数量级的信号。

(2)用555定时器构成多谐振荡器:产生的频率比较小,而且很稳定。在本设计中,采用的是第二种方案,因为用555比较简单,而且效果好,最主要是较易得到较小频率的振荡脉冲。 二系统框图 三各部分电路 (1)脉冲产生电路 用555来产生脉冲,得到的脉冲频率小,而且稳定,并且在此设计中我们还添加一个发光二极管,当可以产生脉冲时,发光二极管会不停的跳动。电阻值是经过计算而得出,给555供电用的是5V电源。电路图如下

数字电路课程设计

数字电路课程设计 姓名:李志波 专业:电子信息工程 年级:2012级

数字闹钟计时器 一.实验目的 1.通过这个实验进一步了解掌握各种功能芯片的功能,并能够在电路系统中正确应用。 2.强化巩固专业课课程内容,学会对电路的系统分析。 3.初步了解基础的电路设计思路和方法,锻炼自己的动手能力,巩固电子焊接技术。 二.实验原理 1.显示译码器 74LS248(74LS48)是BCD码到七段码的显示译码器,它可以直接驱动共阴极数码管。它的引脚图及功能如下: (a)要求输入数字0~15时“灭灯输入端”BI必须开路或保持高电平,如果不要灭十进制的0,则“动态灭灯 输入”RBI必须开路或者为高电平。 (b)当灭灯输入端BI接低电平时,不管其他输入端为何种电平,所有各端输出均为低电平。 (c)BI/RBO是线与关系,既是“灭灯输入端”BI又是“动态灭灯输出端”RBO。 2.数码显示器 LC5011-11就是一种共阴极数码显示器,它的管脚图如图1,X为共阴极,DP为小数点。其内部是八段发光二极管的负极连在一起的电路。当在a.b.c.d.e.f.g.DP加上正向电压时,各段

二极管就会被点亮,例如,利用74LS48和数码管组合成的显 示译码电路。 ABCD 四个引脚接上一级输出 LT,RBO/BI ,RBI 接高电平,或悬空。 3,十进制集成计数电路74LS90 74LS90时异步二-五-十进制计数器。其管脚图如图 U1 74LS90D Q A 12Q B 9Q D 11 Q C 8I N B 1 R 916 R 927R 012I N A 14R 02 3 G N D 10 V C C 5它的内部由两个计数电路组成,一个为二 进制,计数电路,计数脉冲输入端为CP1,输出端为QA QB QC QD.这两个计数器可独立使用,当QA 连到CP2时,可构成十进制计数器。 它具有复零输入端ROA,ROB 和复9输入端R9A R9B 。如果复零输入端ROA,ROB 皆为高电平时,计数器复零;如果复9输入端R9A,R9B 皆为高电平时,计数器复9。计数时ROA,ROB 其中之一接高电平或者二者都接高电平,并要求复9输入端R9A,R9B 其一接低电平或者同时接低电平。用74LS90接成的24 进 制 计 数 器 电 路 如 图

课程设计(数字日历钟表的设计)

课程设计说明书(论文) 课程名称:课程设计1 设计题目:数字日历钟表的设计 院系: 班级: 设计者: 学号: 设计时间:2013-6-19

哈尔滨工业大学 哈尔滨工业大学课程设计任务书 姓名:院(系): 专业:班号: 任务起至日期:2013 年 5 月日至2013 年 6 月19 日 课程设计题目:数字日历钟的设计 已知技术参数和设计要求: 1.数码管显示:秒、分、时(可同时显示,也可轮换显示) 2.能够设置时间,“设置按键”数量不限,以简单合理易用为好。 3.误差:1 秒/天(报告中要论述分析是否满足要求) 扩展(优秀必作) 1.设置校准键:当数字钟显示在“整点±30 秒”范围时,按动“校准键”,数字钟即刻被调整到整点,消除了±30 秒的误差。 2.加上“星期”显示(可以预置),并可以对其进行设置。 其他要求: 1.按动员老师的要求、课程设计报告规范进行设计 2.不允许使用时数字钟表、日历专用IC 电路。 3.可以使用通用器件:模拟、数字、单片机、EPLD、模块电路等。 4.设计方法不限。

工作量: 1. 查找资料 2. 设计论证方案 3. 具体各个电路选择、元器件选择和数值计算 4. 具体说明各部分电路图的工作原理 5. 绘制电路原理图 6. 绘制印刷电路图 7. 元器件列表 8. 编写调试操作 9. 打印论文 工作计划安排: 1. 查阅资料: 2. 方案论证 3. 设计、分析、计算、模拟调试、仿真、设计原理 4. 撰写报告:课程设计要求、方案论证、原理论述(原理框图、原理图)、分析、计算、仿真, PCB 图的设计,误差分析、总结,参考文献等 5. 上交课程设计论文2013-6-19 同组设计者及分工:

定时器电路

时分秒可校的定时器电路 设计报告 摘要 本设计的目的是设计一时分秒可校的定时器电路,该电路由数据预置部分对核心部分定时器模块进行时间预置,输出接至显示模块并

通过LED数码管显示时分秒信息,定时时间到通过声光报警模块进行报警。设计采用可编程芯片和VHDL语言进行软硬件设计,不但可使硬件大为简化,而且稳定性也有明显提高。本设计采用逐位设定预置时间,其最长时间设定可长达24小时59分59秒,并由六个共阴数码管进行时分秒的显示,定时时间到喇叭发出声响,同时两个LED灯亮。关键字: VHDL语言定时器显示报警 目录 一、系统设计 (4) 二、单元电路设计

(4) 三、软件设计 (6) 四、系统测试 (7) 五、结论 (8) 六、参考文献 (9) 七、附录 (9) 一、系统设计 1、设计要求 时分秒可校的定时器,定时范围为10秒—24时59分59秒,精度为1秒,能同时显示时分秒信息(LED数码管),定时时间到能发出声

光警告信号。 2、系统设计方案 总体框图如图所示: 图中定时模块由2个59进制、1个24进制的减计数器连接,实现定时器递减到零的倒计时功能;输出由七段数码显示译码器驱动数码管显示;报警模块由输出系列检测实现喇叭和LED 灯的时间报警;时间预置由六个输入端口分别对时分秒进行预置。 二、单元电路设计 1、倒计时部分(以秒为例):该部分是整个电路的核心,clk 为时钟信号,当时钟上升沿到来,倒计时开始,cn 为使能端,高电平有效,res 为复位端,用来清零,采用异步复位方式,s1、s2端为别为十位、个位数据预置端;count 为数据溢出端,高电平有效,dlow 、high 为四位BCD 码输出端口,用于显示及报警。 当cn 有效时,clk 脉冲上升沿到来时,开始倒计时,每60秒为一个周期,溢出端count 输出一信号使分计数减1,直到计时完成。

『数字电路』课程设计指导书

『数字电路』课程设计指导书

『数字电路』课程设计指导书 一、教学目标 (一)课程性质 课程设计。 (二)课程目的 训练学生综合运用学过的数字电路的基本知识,独立设计比较复杂的数字电路的能力。 二、教学内容基本要求及学时分配 (一)课程设计题目 题目见附录I,原则上每人一题。 (二)设计内容 拿到题目后首先进行电路设计。然后在微机上进行原理图输入、编译和软件仿真,如满足设计要求,再进行下载和硬件实验。如硬件实验结果不满足要求,则修改设计,直到满足要求为止。 (三)设计要求 (1)按题目要求的逻辑功能进行设计,电路 2

各个组成部分须有设计说明; (2)必须采用原理图输入法; (3)软件仿真完成后,必须经教师允许方可进行下载; (四)使用的硬件和软件 硬件为可编程逻辑器件EPM7128S;软件为MAX+PLUSII。见附录。 三、主要教学环节 (一)设计安排 1. 课程设计时间为两周,每人一台微机; 2.第1、2天讲授设计需要的硬件和软件、 设计的要求、布置设计题目; 3.第3~8天学生进行设计; 4.第9、10天教师验收,然后学生撰写和 打印设计报告。 (二)指导与答疑 每天都有教师现场答疑,学生有疑难问题可找教师答疑。学时应充分发挥主观能动性,不应过 3

分依赖教师。 (三)设计的考评 设计全部完成后,须经教师验收。验收时学生要讲述自己设计电路的原理、仿真情况,还要演示硬件实验结果。 教师根据学生设计全过程的表现和验收情况给出成绩。 四、课程设计报告的内容和要求 (一)课程设计报告的内容 按附录中给出的报告模板进行编写,用A4纸打印,左侧装订。 (二)课程设计报告编写的基本要求 (1)按设计指导书中要求的格式书写,所有的内容一律打印; (2)报告内容包括设计过程、软件仿真的结果及分析、硬件仿真结果及分析; (3)要有整体电路原理图、各模块原理图; (4) 软件仿真包括各个模块的仿真和整体电路 4

相关文档
相关文档 最新文档