文档库 最新最全的文档下载
当前位置:文档库 › 组合逻辑电路的分析与测试(网上的)

组合逻辑电路的分析与测试(网上的)

组合逻辑电路的分析与测试(网上的)
组合逻辑电路的分析与测试(网上的)

组合逻辑电路的设计与测试

四、实验内容 1、设计用与非门及用异或门、与门组成的半加器电路。 要求按本文所述的设计步骤进行,直到测试电路逻辑功能符合设计要求为止。 解: 逻辑表达式:S= A 2、设计一个一位全加器,要求用异或门、与门、或门组成。 解: i C B A AB )(C C B A S o i ⊕+=⊕⊕= A B 0 00 11 01 1 0 01 01 01 1 S C A B S C 74LS08 74LS86 74LS08 A B C i 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 0 01 01 00 11 00 10 11 1 S C o A B C i CC4085

A B C i 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 0 01 01 00 11 00 10 11 1 S C o A B C i 5 6 3、设计一位全加器,要求用与或非门实现。 解: 11i 1-i i i 1-i i i i B A C B A C B A S --+++=i i i i i C B A C 1i 1-i i i i i A C B B A C -++=i C A i C B i 4、设计一个对两个两位无符号的二进制数进行比较的电路;根据第一个数是否大于、等于、小于第二个数,使相应的三个输出端中的一个输出为“1”,要求用与门、与非门及或非门实现。

解: A 0 B 0 A 1 B 1 B 74LS04六反相器入与门(1) 入与门(2) 五、实验预习要求 1、根据实验任务要求设计组合电路,并根据所给的标准器件画出逻辑图。 2、如何用最简单的方法验证“与或非”门的逻辑功能是否完好? 3、“与或非”门中,当某一组与端不用时,应作如何处理? 六、实验报告 1、列写实验任务的设计过程,画出设计的电路图。 2、对所设计的电路进行实验测试,记录测试结果。 1、组合电路设计体会。 A 0B 0A 0B 0A 0=B 0 A 1=A 1= B 1A 1=B 1010× A < B 001×A 1>F AB A 0A 1输出输入F A>B = (A 1>B 1) + (A 1=B 1)(A 0>B 0)F A=B =(A 1=B 1)(A 0=B 0) F A

组合逻辑电路基础知识、分析方法

组合逻辑电路基础知识、分析方法 电工电子教研组徐超明 一.教学目标:掌握组合逻辑电路的特点及基本分析方法 二.教学重点:组合逻辑电路分析法 三.教学难点:组合逻辑电路的特点、错误!链接无效。 四.教学方法:新课复习相结合,温故知新,循序渐进; 重点突出,方法多样,反复训练。 组合逻辑电路的基础知识 一、组合逻辑电路的概念 [展示逻辑电路图]分析得出组合逻辑电路的概念:若干个门电路组合起来实现不同逻辑功能的电路。 复习: 名称符号表达式 基本门电路与门Y = AB 或门Y = A+B 非门Y =A 复合门电路 与非门Y = AB 或非门Y = B A+ 与或非门Y = CD AB+ 异或门 Y = A⊕B =B A B A+ 同或门 Y = A⊙B =B A AB+ [展示逻辑电路图]分析得出组合逻辑电路的特点和能解决的两类问题: 二、组合逻辑电路的特点 任一时刻的稳定输出状态,只决定于该时刻输入信号的状态,而与输入信号作用前电路原来所处的状态无关。不具有记忆功能。

三、组合逻辑电路的两类问题: 1.给定的逻辑电路图,分析确定电路能完成的逻辑功能。 →分析电路 2.给定实际的逻辑问题,求出实现其逻辑功能的逻辑电路。→设计电路 14.1.1 组合逻辑电路的分析方法 一、 分析的目的:根据给定的逻辑电路图,经过分析确定电路能完成的逻辑功能。 二、 分析的一般步骤: 1. 根据给定的组合逻辑电路,逐级写出逻辑函数表达式; 2. 化简得到最简表达式; 3. 列出电路的真值表; 4. 确定电路能完成的逻辑功能。 口诀: 逐级写出表达式, 化简得到与或式。 真值表真直观, 分析功能作用大。 三、 组合逻辑电路分析举例 例1:分析下列逻辑电路。 解: (1)逐级写出表达式: Y 1=B A , Y 2=BC , Y 3=21Y Y A =BC B A A ??,Y 4=BC , F=43Y Y =BC BC B A A ??? (2)化简得到最简与或式: F=BC BC B A A ???=BC BC B A A +??=BC C B B A A +++))(( =BC C B A B A BC C B B A +??+?=++?)(=BC B A BC C B A +?=++?)1( (3)列真值表: A B C F 0 0 0 1 0 0 1 1 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 (4)叙述逻辑功能: 当 A = B = 0 时,F = 1 当 B = C = 1 时,F = 1 组合逻辑电路 表达式 化简 真值表 简述逻辑功能

组合逻辑电路的分析

组合逻辑电路的分析(大题)一.目的 由逻辑图得出逻辑功能 二.方法(步骤) 1.列逻辑式: 由逻辑电路图列输出端逻辑表达式; (由输入至输出逐级列出) 2.化简逻辑式: 代数法、卡诺图法; (卡诺图化简步骤保留) 3.列真值表: 根据化简以后的逻辑表达式列出真值表;4.分析逻辑功能(功能说明): 分析该电路所具有的逻辑功能。 (输出与输入之间的逻辑关系); (因果关系) (描述函数为1时变量取值组合的规律) 技巧:先用文字描述真值表的规律(即叙述函数值为1时变量组合所有的取值),然后总结归纳电路实现的具体功能。

5.评价电路性能。三.思路总结: 组合逻辑 电路逻辑表达式最简表达式真值表逻辑功能化简 变换 四.注意: 关键:列逻辑表达式; 难点:逻辑功能说明 1、逻辑功能不好归纳时,用文字描述真值表的规律。(描述函数值为1时变量组合所有的取值)。 2、常用的组合逻辑电路。 (1)判奇(偶)电路; (2)一致性(不一致性)判别电路; (3)相等(不等)判别电路; (4)信号有无判别电路; (5)加法器(全加器、半加器); (6)编码器、优先编码器; (7)译码器; (8)数值比较器; (9)数据选择器; (10)数据分配器。

3、多输出组合逻辑电路判别: 1)2个输出时考虑加法器:2输入半加;3输入全加。 2)4输出时考虑编码器:4输入码型变换;编码器。 五.组合逻辑电路分析实例 例1 电路如图所示,分析电路的逻辑功能。 A B Y 解: (1)写出输出端的逻辑表达式:为了便于分析可将电路自左至右分三级逐级写出Z1、Z2、Z3和Y的逻辑表达式为:

实验一、(仿真)组合逻辑电路的设计与测试--振宇

实验一、组合逻辑电路的设计与测试 一、实验目的 1、学会查阅数字芯片数据手册,掌握集成芯片的逻辑功能,了解芯片主要参数。 2、熟悉常用仪器如函数发生器,台式数字万用表及数字示波器的使用方法,熟悉电压、电流等参数测量。 3、掌握组合逻辑电路的设计与测试方法。 4、认识竞争冒险现象,加深对竞争冒险现象产生的理解,学会消除竞争冒险。 二、实验仪器 直流稳压电源 、面包板及插线、数字示波器、台式数字万用表、函数信号发生器及相关芯片:74LS00、74LS20、74LS86、74LS04、 74LS02 、74LS08、发光二极管和少量阻容器件。三、数字电路实验步骤 1、查阅与实验相关芯片资料,从.21icsearch.下载芯片数据手册。 2、列表,列出相关标准参数。 3、测试方案设定,画出电路原理图,并用multisim10软件进行功能仿真测试。 如何设计电路实现题设要求的逻辑功能,选择哪款芯片?考虑仪器、供电电源等各种误差,如何能测量准确? 4、在实验室面包板上搭建系统、调试电路,测试逻辑功能,测量数据,绘制波形,并进行误差分析。 5、按要求完成实验报告 四、实验任务 1、查阅实验过程中所用芯片技术手册,给出相关技术指标和逻辑功能真值表,画出芯片物理与逻辑引脚图。 2、验证74LS00的逻辑功能,自行设计电路测试 V OL 、V OH 、 I CCL 、I CCH 等参数。 低电平输出电源电流I CCL 和高电平输出电源电流I CCH 说明: 芯片处于不同的工作状态,电源提供的电流是不同的。以与非门为例,I CCL 是指所有输入端悬空,输出端空载时,芯片输出低电平时电源提供器件的电流。I CCH 是指输出端空载,每个门各有一个以上的输入端接地,其余输入端悬空,芯片输出高电平时电源提供给器件的电流。通常I CCL >I CCH ,它们的大小标志着器件静态功耗的大小。器件的最大功耗为P CCL =V CC I CCL 。手册中提供的电源电流和功耗值是指整个器件总的电源电流和总的功耗。 引脚图: 7400芯片物理与逻辑引脚图:

第五章组合逻辑电路典型例题分析

第五章 组合逻辑电路典型例题分析 第一部分:例题剖析 例1.求以下电路的输出表达式: 解: 例2.由3线-8线译码器T4138构成的电路如图所示,请写出输出函数式. 解: Y = AC BC ABC = AC +BC + ABC = C(AB) +CAB = C (AB) T4138的功能表 & & Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7 “1” T4138 A B C A 2A 1A 0Ya Yb S 1 S 2 S 30 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 S 1S 2S 31 0 01 0 01 0 01 0 01 0 01 0 01 0 01 0 0 A 2A 1A 0Y 0Y 1Y 2Y 3Y 4Y 5Y 6Y 70 1 1 1 1 1 1 11 0 1 1 1 1 1 11 1 0 1 1 1 1 11 1 1 0 1 1 1 11 1 1 1 0 1 1 11 1 1 1 1 0 1 11 1 1 1 1 1 0 11 1 1 1 1 1 1 0

例3.分析如图电路,写出输出函数Z的表达式。CC4512为八选一数据选择器。 解: 例4.某组合逻辑电路的真值表如下,试用最少数目的反相器和与非门实现电路。(表中未出现的输入变量状态组合可作为约束项) CC4512的功能表 A ? DIS INH 2A 1A 0Y 1 ?0 1 0 0 0 00 00 00 0 0 0 0 00 0 ?????0 0 00 0 10 1 00 1 11 0 0 1 0 11 1 01 1 1 高阻态  0D 0D 1D 2D 3D 4D 5D 6D 7 Z CC4512 A 0A 1A 2 D 0 D 1 D 2 D 3 D 4 D 5 D 6 D 7 DIS INH D 1 D A B C D Y 0 0 0 0 1 0 0 0 1 00 0 1 0 10 0 1 1 00 1 0 0 0 CD AB 00 01 11 1000 1 0 0 101 0 1 0 1 11 × × × ×10 0 1 × × A B 第一步画卡诺图第三步画逻辑电路图

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案? 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 2)由表2-1全加器真值表写出函数表达式。

3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 4)画出逻辑电路图如图2-1,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将A i、B i、C i接逻辑开关,输出Si、Ci+1接发光二极管。改变输入信 号的状态验证真值表。 2.在一个射击游戏中,每人可打三枪,一枪打鸟(A),一枪打鸡(B),一枪打兔子(C)。 规则是:打中两枪并且其中有一枪必须是打中鸟者得奖(Z)。试用与非门设计判断得奖的电路。(请按照设计步骤独立完成之) 五、实验报告要求: 1.画出实验电路连线示意图,整理实验数据,分析实验结果与理论值是否相等。 2.设计判断得奖电路时需写出真值表及得到相应输出表达式以及逻辑电路图。 3.总结中规模集成电路的使用方法及功能。

第六章-几种常用的组合逻辑电路试题及答案

第六章几种常用的组合逻辑电路 一、填空题 1、(8-1易)组合逻辑电路的特点是:电路在任一时刻输出信号稳态值由决定(a、该时刻电路输入信号;b、信号输入前电路原状态),与无关(a、该时刻电路输入信号;b、信号输入前电路原状态),属于(a、有;b、非)记忆逻辑电路。 2、(8-2易)在数字系统中,将具有某些信息的符号变换成若干位进制代码表示,并赋予每一组代码特定的含义,这个过程叫做,能实现这种 功能的电路称为编码器。一般编码器有n个输入端,m个输出端,若输入低电平有效,则在任意时刻,只有个输入端为0,个输入端为1。对于优先编码器,当输入有多个低电平时,则。 3、(8-3易,中)译码是的逆过程,它将转换成。译码器有多个输入和多个输出端,每输入一组二进制代码,只有个输出端有效。n 个输入端最多可有个输出端。 4、(8-2易)74LS148是一个典型的优先编码器,该电路有个输入端和个输出端,因此,又称为优先编码器。 5、(8-4中)使用共阴接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器;使用共阳接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器,这样才能显示0~9十个数字。 6、(8-4中)译码显示电路由显示译码器、和组成。 7.(8-4易)译码器分成___________和___________两大类。 8.(8-4中)常用数字显示器有_________,_________________,____________等。 9.(8-4中)荧光数码管工作电压_______,驱动电流______,体积_____,字形清晰美观,稳定可靠,但电源功率消耗______,且机械强度_____。 10.(8-4中)辉光数码管管内充满了_________,当它们被______时,管子就发出辉光。 11.(8-4易)半导体发光二极管数码管(LED)可分成_______,_______两种接法。 12.(8-4中)发光二极管正向工作电压一般为__________。为了防止二极管过电流而损坏,使用时在每个二极管支路中应______________。 13.(8-3中)单片机系统中,片内存储容量不足需要外接存储器芯片时,可用_________作高位地址码。 14.(8-3中)数字系统中要求有一个输入端,多个数据输出端,可用_________输入端作为

3.1组合逻辑电路的分析

第三章组合逻辑电路 基本要求: 熟练掌握组合逻辑电路的分析方法;掌握组合逻辑电路的设计方法;理解全加器、译码器、编码器、数据选择器、数据比较器的概念和功能,并掌握它们的分析与实现方法;了解组合逻辑电路中的险象 本章主要内容:组合逻辑电路的分析方法和设计方法。 本章重点: 组合逻辑电路的分析方法 组合逻辑电路的设计方法 常用逻辑部件的功能 本章难点: 组合逻辑电路的设计 一、组合逻辑电路的特点 若一个逻辑电路,在任一时刻的输出仅取决于该时刻输入变量取值组合,而与电路以前的状态无关,则电路称为组合逻辑电路(简称组合电路)。可用一组逻辑函数描述。 组合电路根据输出变量分为单输出组合逻辑电路和多输出组合逻辑电路。 注意:1.电路中不存在输出端到输入端的反馈通路。 2.电路不包含记忆元件。 3.电路的输出状态只由输入状态决定。 二、组合逻辑电路的分析方法 分析的含义:给出一个组合逻辑电路,分析它的逻辑功能。 分析的步骤: 1.根据给出的逻辑电路图,逐级推导,得到输出变量相对于

输入变量的逻辑函数。 2.对逻辑函数化简。 3.由逻辑函数列出对应的真值表。 4.由真值表判断组合电路的逻辑功能。 三、组合电路的分析举例 1、试分析图3-1所示的单输出组合逻辑电路的功能 解:(1)由G1、G2、G3各个门电路的输入输出关系,推出整个电路的表达式: Z1=ABC F=Z1+Z2 (2)对该逻辑表达式进行化简: (3)根据化简后的函数表达式,列出真值表3-1。 (4)从真值表中可以看出:当A、B、C三个输入一致时(或者全为“0”、或者全为“1”),输出才为“1”,否则输出为“0”。所以,这个组合逻辑电路具有检测“输入不一致”的功能,也称为“不一致电路”。

实验一 组合逻辑电路的设计与测试教学提纲

实验一组合逻辑电路的设计与测试 一、实验原理 根据设计任务的要求建立输入、输出变量,并列出真值表;然后用逻辑电路代数或卡诺图化简法求出简化的逻辑表达式并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,验证设计的正确性。 二、实验目的 掌握组合逻辑电路的设计与测试方法。 三、实验设备与器件 1、+5V直流电源 2、逻辑开关 3、逻辑电平显示器 4、直流数字电压表 5、CC4011×2(74LS00) CC4012×3(74LS20) CC4030(74LS86) CC4081(74LS08) 74LS54×2(CC4085) CC4001(74LS02) 四、实验内容 1、设计用与非门及异或门、与门组成的半加器电路。 (1)真值表如下表

(2) 简化逻辑表达式为 S⊕ = A = + B A B A B C= AB (3)逻辑电路图如下 2、设计一个一位全加器,要求用异或门、与门、或门实现。 用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 (1)列出真值表如下表。其中Ai、Bi、Ci分别为一个加数、另一个加数、低位向本位的进位;Si、Ci+1分别为本位和、本位向高位的进位。

1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 (2)由全加器真值表写出函数表达式。 (3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 (4)画出逻辑电路图如下图,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将Ai 、Bi 、Ci 接逻辑开关,输出Si 、Ci+1接发光二极管。改变输入信号的状态验证真值表。 3、设计一位全加器,要求用与或非门实现。 解: 11i 1-i i i 1-i i i i B A C B A C B A S --+++=i i i i i C B A C Θ

实验二 组合逻辑电路功能分析与设计

实验二组合逻辑电路功能分析与设计 一、实验目的: 1、了解组合逻辑电路的特点; 2、掌握组合逻辑电路功能的分析方法; 3、学会组合逻辑电路的连接方法; 4、掌握组合逻辑电路的设计方法。 二、实验原理: 1、组合逻辑电路的特点: 组合电路的输出只与当时输入的有关,而与电路以前的状态无关,即输出与输入的关系具有及时性,不具备记忆功能。 2、组合逻辑电路的分析方法: a写表达式:一般方法是从输入到输出逐级写出逻辑函数的表达式。 b化简:利用公式法和图行法进行化简,得出最简的函数表达式。 c列真值表:根据最简函数表达式列出函数真值表。 d功能描述:判断该电路所完成的逻辑功能,做出简要的文字描述,或进行改进设计。 3、组合逻辑电路的设计步骤: a根据设计的要求列出真值表。 B根据真值表写出函数表达式。 C化简函数表达式或做适当的形式转换。 D画出逻辑电路图。 三、实验器件 集成块:74LS00、74LS04、74LS08、74LS32 四、实验内容: (一)、组合逻辑电路功能分析 当电路A,B都输入0或1时,Y值输出为1; 当电路A,B输入为不一样的值时,Y值输出为0. 1图4-1 (二)、组合逻辑电路设计(根据组合逻辑电路的设计步骤,分别写出各个组合逻辑电路的设计步骤。) 1、设计一个举重裁判表决器。设举重比赛有三个裁判,一个主裁判和两个副裁判。杠铃完全举上的裁决由每一个裁判按一下自己面前的按钮来确定。只有当两个或两个以上裁判(其中必须有主裁判)

判明成功时,表示“成功”的灯才亮。(要求用与非门实现) 设输入变量:主裁判为A ,副裁判分别为B ,C ,按下按钮为1,不按为0;输出变量:表示成功与否用Y 表示,灯亮为1,不亮为0,根据题意可以列出如图的真值表。 Y=AB == *AC == 2、某设备有开关A 、B 、C ,要求仅在开关A 接通的条件下,开关B 才能接通;开关C 仅在开关B 接通的条件下才能接通。违反这一规程,则发出报警信号。设计一个由与非门组成的能实现这一功能的报警控制电路。(要求用与非门实现) 设输入变量:开关分别为A ,B ,C ;输出变量:报警器为Y ,报警为1,不报警为0,根据题意可以列出如图的真值图。 Y=AC -= *AB -= *BC -=

组合逻辑电路的设计与测试

数字电子技术 实验报告 (大数据学院)实验名称:实验二:组合逻辑电路的设计与测试专业班级: 学生姓名: 学生学号: 指导教师:

实 验 地 点: 实 验 日 期: 2019.12.7 实验组成员姓名: 贵州理工学院实验报告 实验项目名 称 组合逻辑电路的设计与测试 实验目的 掌握组合逻辑电路的设计与测试方法 实验原 理 1、 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路。设计组合电路的一般步骤如图2-1所示。 图2-1 组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或 卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。 根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2、 组合逻辑电路设计举例 用“与非”门设计一个表决电路。当四个输入端中有三个或四个为“1”时,输出端才为“1”。 设计步骤:根据题意列出真值表如表2-1所示,再填入卡诺图表2-2中。 表1-1

D 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 A 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Z 0 0 0 0 0 0 0 1 0 0 0 1 0 1 1 1 表2-2 DA BC 00 01 11 10 00 01 1 11 1 1 1 10 1 由卡诺图得出逻辑表达式,并演化成“与非”的形式 Z =ABC +BCD +ACD +ABD =ABC ACD BCD ABC ??? 根据逻辑表达式画出用“与非门”构成的逻辑电路如图2-2所示。 图2-2 表决电路逻辑图 用实验验证逻辑功能 在实验装置适当位置选定三个14P 插座,按照集成块定位标记插好集成块CC4012。 按图2-2接线,输入端A 、B 、C 、D 接至逻辑开关输出插口,输出端Z 接逻辑电平显示输入插口,按真值表(自拟)要求,逐次改变输入变量,测量相应的输出值,验证逻辑功能,与表2-1进行比较,验证所设计的逻辑电路是否符合要求。 3. 半加器实现原理

组合逻辑电路的分析与设计实验报告

组合逻辑电路的分析与设计 实验报告 院系:电子与信息工程学院班级:电信13-2班 组员姓名: 一、实验目的 1、掌握组合逻辑电路的分析方法与测试方法。 2、掌握组合逻辑电路的设计方法。 二、实验原理 通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。电路在任何时刻,输出状态只取决于同一时刻各输入状态的组合,而与先前的状态无关的逻辑电路称为组合逻辑电路。 1.组合逻辑电路的分析过程,一般分为如下三步进行:①由逻辑图写输出端的逻辑表达式;②写出真值表;③根据真值表进行分析,确定电路功能。 2.组合逻辑电路一般设计的过程为图一所示。 图一组合逻辑电路设计方框图 3.设计过程中,“最简”是指按设计要求,使电路所用器件最少,器件的种类最少,而且器件之间的连线也最少。 三、实验仪器设备 数字电子实验箱、电子万用表、74LS04、74LS20、74LS00、导线若干。 74LS00 74LS04 74LS20 四、实验内容及方法

1 、设计4线-2线优先编码器并测试其逻辑功能。 数字系统中许多数值或文字符号信息都是用二进制数来表示,多位二进制数的排列组合叫做代码,给代码赋以一定的含义叫做编码。 (1)4线-2线编码器真值表如表一所示 4线-2线编码器真值表 (2)由真值表可得4线-2线编码器最简逻辑表达式为 Y=((I0′I1′I2I3′)′(I0′I1′I2′I3)′)′ 1 Y=((I0′I1I2′I3′)′(I0′I1′I2′I3)′)′ (3)由最简逻辑表达式可分析其逻辑电路图 4线-2线编码器逻辑图 (4)按照全加器电路图搭建编码器电路,注意搭建前测试选用的电路块能够正常工作。 (5)验证所搭建电路的逻辑关系。 I=1 1Y0Y=0 0 1I=1 1Y0Y=0 1 I=1 1Y0Y=1 0 3I=1 1Y0Y=1 1 2 2、设计2线-4线译码器并测试其逻辑功能。 译码是编码的逆过程,它能将二进制码翻译成代表某一特定含义的号.(即电路的某种状态),具有译码功能的逻辑电路称为译码器。 (1)2线-4线译码器真值表如表二所示

第六章_几种常用的组合逻辑电路试题及答案

1.(8-5中)设一位二进制半加器的被加数为A,加数为B,本位之和为S, 向高位进位为C,试根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表: 2.(8-5难)设一位二进制全加器的被加数为A i,加数为B i,本位之和为 S i,向高位进位为C i,来自低位的进位为C i-1,根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表:

3.(8-1难)分析图示逻辑电路: 1).列真值表 2).写出逻辑表达式 3).说明其逻辑功能。 =++,根据给出的4.(8-3难*)用一个74LS138译码器实现逻辑函数Y ABC ABC ABC 部分逻辑图完成逻辑图的连接。

6.(8-1难)试用2输入与非门和反向器设计一个3输入(I0、I1、I2)、3输出(L0、L1、L2)的信号排队电路。它的功能是:当输入I0为1时,无论I1和I2为1还是0,输出L0为1,L1和L2为0;当I0为0且I1为1,无论I2为1还是0,输出L1为1,其余两个输出为0;当I2为1且I0和I1均为0时,输出L2为1,其余两个输出为0。如I0、I1、I2均为0,则L0、L1、L2也均为0。 1).列真值表 2).写出逻辑表达式 3).将表达式化成与非式 4).根据与非式画出逻辑图 7.(8-1难)某个车间有红、黄两个故障指示灯,用来表示3台设备的工作情况。如一台设备出现故障,则黄灯亮;如两台设备出现故障,则红灯亮;如三态设备同时出现故障,则红灯和黄灯都亮。试用与非门和异或门设计一个能实现此要求的逻辑电路。 1).列真值表

2).写出逻辑表达式 3).根据表达式特点将其化成与非式,或者是异或式 4).根据化成的表达式画出逻辑图 9.(8-3难)请用3-8线译码器译码器和少量门器件实现逻辑函数 ()()∑=7630,,,,,m A B C F 。

实验一 组合逻辑电路的设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

组合逻辑电路的分析与设计

第三章组合逻辑电路的分析和设计 [教学要求] 1.掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式; 2.掌握逻辑函数的公式化简法和卡诺图化简法; 3.了解最小项、最大项、约束项的概念及其在逻辑函数化简中的使用。 4.掌握组合逻辑电路的分析和设计方法; 5.了解组合电路中的竞争和冒险现象、产生原因及消除方法。 [教学内容] 1.逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式 2.逻辑函数的公式化简法和卡诺图化简法 3.最小项、最大项、约束项的概念及其在逻辑函数化简中的使用 4.组合逻辑电路的分析方法 5.组合逻辑电路的设计方法 6.组合电路中的竞争和冒险现象、产生原因及消除方法 组合逻辑电路――在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而和先前状态无关的逻辑电路。 组合逻辑电路具有如下特点: (1)输出、输入之间没有反馈延迟通路; (2)电路中不含记忆单元。 3.1 逻辑代数 逻辑代数是分析和设计逻辑电路不可缺少的数学工具。逻辑代数提供了一种方法,即使用二值函数进行逻辑运算。逻辑代数有一系列的定律和规则,用它们对数学表达式进行处理,可以完成对电路的化简、变换、分析和设计。

一、逻辑代数的基本定律和恒等式 常用逻辑代数定律和恒等式表:P90 加乘非 基本定律 结合律 交换律 分配律 反演律(摩根定律) 吸收律 其他常用恒等式 表中的基本定律是根据逻辑加、乘、非三种基本运算法则,推导出的逻辑运算的一些基本定律。对于表中所列的定律的证明,最有效的方法就是检验等式左边的函数和右边函数的真值表是否吻合。 证明: 证明如下: 二、逻辑代数的基本规则

组合逻辑电路的设计与测试

组合逻辑电路的设计与测试 一、实验目的 掌握组合逻辑电路的设计与测试方法 二、实验原理 1、使用中、小规模集成电路来设计组合电路是最常见的逻辑电路。设计 组合电路的一般步骤如图5-1所示。 图5-1 组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2、组合逻辑电路设计举例 用“与非”门设计一个表决电路。当四个输入端中有三个或四个为“1”时,输出端才为“1”。 设计步骤:根据题意列出真值表如表5-1所示,再填入卡诺图表5-2中。

由卡诺图得出逻辑表达式,并演化成“与非”的形式 Z=ABC+BCD+ACD+ABD =ABC ? ? ACD ABC? BCD 根据逻辑表达式画出用“与非门”构成的逻辑电路如图5-2所示。 图5-2表决电路逻辑图 用实验验证逻辑功能 在实验装置适当位置选定三个14P插座,按照集成块定位标记插好集成块CC4012。 按图5-2接线,输入端A、B、C、D接至逻辑开关输出插口,输出端Z接逻辑电平显示输入插口,按真值表(自拟)要求,逐次改变输入变量,测量相应的输出值,验证逻辑功能,与表5-1进行比较,验证所设计的逻辑电路是否符合要求。 三、实验设备与器件 1、+5V直流电源 2、逻辑电平开关 3、逻辑电平显示器 4、直流数字电压表 3、CC4011×2(74LS00)CC4012×3(74LS20) CC4030(74LS86) CC4081(74LS08) 74LS54×2(CC4085) CC4001 (74LS02)

组合逻辑电路单元测试题

组合逻辑电路单元测试题 一、选择填空(20分) 1.若在编码器中有50个编码对象,则要求输出二进制代码位数为( )位。 A. 5 B. 6 C.10 D. 50 2.一个16选1的数据选择器,其地址(选择控制)输入端有( )个。 A. 1 B. 2 C. 4 D. 16 3.一个4选1的数据选择器,其输入端有( )个。 A. 1 B. 2 C. 4 D. 8 4.八路数据分配器,其地址输入端有( )个。 A. 1 B. 2 C. 3 D. 4 5.一个译码器若有100个译码输出端,则译码输入端有( )个。 A. 5 B. 6 C. 7 D. 8 6.用4选1数据选择器实现函数0101A A A A Y +=, 应使( )。 A. D 0=D 2=0, D 1=D 3=1 B. D 0=D 2=1 ,D 1=D 3=0 C. D 0=D 1=0 ,D 2=D 3=1 D. D 0=D 1=0, D 2=D 3=0 7.74LS138是3线-8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出-01234567Y Y Y Y Y Y Y Y 分别应为 。 8.用3线-8线译码器74LS138实现原码输出的8路数据分配器,应S 1= ,=2S ,=3S 。 9.以下电路中,加以适当辅助门电路,( )适于实现单输出组合逻辑电路。 A. 二进制译码器 B. 数据选择器 C. 数值比较器 D. 七段显示译码器 10.组合逻辑电路消除竞争冒险的方法有( )。 A. 修改逻辑设计 B. 在输出端接入滤波电容 C. 后级加缓冲电路 D. 屏蔽输入信号的尖峰干扰 11.在二-十进制译码器中,伪码应做约束项处理( )。 A.对 B.错 12.编码器在任何时刻只能对一个输入信号进行编码( )。 A.对 B.错 13.优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效( )。 A.对 B.错 14.二进制译码器相当于是一个最小项发生器,便于实现组合逻辑电路( )。 A.对 B.错 15.数据选择器和数据分配器的功能正好相反,互为逆过程( )。 A.对 B.错 16.编码和译码是互逆的过程( )。

组合逻辑电路的分析

一.目的 由逻辑图得出逻辑功能 二.方法(步骤) 1.列逻辑式: 由逻辑电路图列输出端逻辑表达式; (由输入至输出逐级列出) 2.化简逻辑式: 代数法、卡诺图法; (卡诺图化简步骤保留) 3.列真值表: 根据化简以后的逻辑表达式列出真值表;4.分析逻辑功能(功能说明): 分析该电路所具有的逻辑功能。 (输出与输入之间的逻辑关系); (因果关系) (描述函数为1时变量取值组合的规律) 技巧:先用文字描述真值表的规律(即叙述函数值为1时变量组合所有的取值),然后总结归纳电路实现的具体功能。 5.评价电路性能。 三.思路总结:

四.注意: 关键:列逻辑表达式; 难点:逻辑功能说明 1、逻辑功能不好归纳时,用文字描述真值表的规律。(描述函数值为1时变量组合所有的取值)。 2、常用的组合逻辑电路。 (1)判奇(偶)电路; (2)一致性(不一致性)判别电路; (3)相等(不等)判别电路; (4)信号有无判别电路; (5)加法器(全加器、半加器); (6)编码器、优先编码器; (7)译码器; (8)数值比较器; (9)数据选择器; (10)数据分配器。 3、多输出组合逻辑电路判别: 1)2个输出时考虑加法器:2输入半加;3输入全加。 2)4输出时考虑编码器:4输入码型变换;编码器。

五.组合逻辑电路分析实例 例1 电路如图所示,分析电路的逻辑功能。 A B Y 解: (1)写出输出端的逻辑表达式:为了便于分析可将电路自左至右分三级逐级写出Z 1、Z 2、Z 3和Y 的逻辑表达式为: 321 3121Z Z Y BZ Z AZ Z AB Z ==== (2)化简与变换:将Z 1、Z 2、和Z 3代入到公式Y 中进行公式化简得: B A B A BZ AZ BZ AZ Z Z Z Z Y +=+=+=+==11113232 (3)列出真值表:根据化简以后的逻辑表达式列出真值表如表所示。

实验六组合逻辑电路的设计与测试

实验六组合逻辑电路的设计与测试 1.实验目的 (1)掌握组合逻辑电路的设计方法; (2)熟悉基本门电路的使用方法。 (3)通过实验,论证所设计的组合逻辑电路的正确性。 2.实验设备与器材 1)数字逻辑电路实验箱,2)万用表,3)集成芯片74LS00二片。 3.预习要求 (1)熟悉组合逻辑电路的设计方法; (2)根据具体实验任务,进行实验电路的设计,写出设计过程,并根据给定的标准器件画出逻辑电路图,准备实验; (3)使用器件的各管脚排列及使用方法。 4.实验原理 数字电路中,就其结构和工作原理而言可分为两大类,即组合逻辑电路和时序逻辑电路。组合逻辑电路输出状态只决定于同一时刻的各输入状态的组合,与先前状态无关,它的基本单元一般是逻辑门;时序逻辑电路输出状态不仅与输入变量的状态有关,而且还与系统原先的状态有关,它的基本单元一般是触发器。 (1)组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他功能的门电路。设计组合逻辑电路的一般步骤是: 1)根据逻辑要求,列出真值表; 2)从真值表中写出逻辑表达式; 3)化简逻辑表达式至最简,并选用适当的器件; 4)根据选用的器件,画出逻辑电路图。 逻辑化简是组合逻辑设计的关键步骤之一。为了使电路结构简单和使用器件较少,往往要求逻辑表达式尽可能化简。由于实际使用时要考虑电路的工作速度和稳定可靠等因素,在较复杂的电路中,还要求逻辑清晰易懂,所以最简设计不一定是最佳的。但一般来说,在保证速度、稳定可靠与逻辑清楚的前提下,尽量使用最少的器件,以降低成本。 (2)与非门74LS00芯片介绍 与非门74LS00一块芯片内含有4个互相独立的与非门,每个与非门有二个输入端。其逻辑表达式为Y=AB,逻辑符号及引脚排列如图6-1(a)、(b)所示。 (a)逻辑符号(b)引脚排列 图6-1 74LS20逻辑符号及引脚排列 (3)异或运算的逻辑功能 当某种逻辑关系满足:输入相同输出为“0”,输入相异输出为“1”,这种逻辑关系称为“异或”逻辑关系。 (4)半加器的逻辑功能 在加法运算中,只考虑两个加数本身相加,不考虑由低位来的进位,这种加法器称为半加器。5.实验内容 (1)用1片74LS00与非门芯片设计实现两输入变量异或运算的异或门电路 要求:设计逻辑电路,按设计电路连接后,接通电源,验证运算逻辑。输入端接逻辑开关输出插口,以提供“0”与“1”电平信号,开关向上,输出逻辑“1”,向下为逻辑“0”;电路

实验一、(仿真)组合逻辑电路的设计与测试--振宇

@ 实验一、组合逻辑电路的设计与测试 一、实验目的 1、学会查阅数字芯片数据手册,掌握集成芯片的逻辑功能,了解芯片主要参数。 2、熟悉常用仪器如函数发生器,台式数字万用表及数字示波器的使用方法,熟悉电压、电流等参数测量。 3、掌握组合逻辑电路的设计与测试方法。 4、认识竞争冒险现象,加深对竞争冒险现象产生的理解,学会消除竞争冒险。 二、实验仪器 直流稳压电源 、面包板及插线、数字示波器、台式数字万用表、函数信号发生器及相关芯片:74LS00、74LS20、74LS86、74LS04、 74LS02 、74LS08、发光二极管和少量阻容器件。三、数字电路实验步骤 ¥ 1、查阅与实验相关芯片资料,从网站下载芯片数据手册。 2、列表,列出相关标准参数。 3、测试方案设定,画出电路原理图,并用multisim10软件进行功能仿真测试。 如何设计电路实现题设要求的逻辑功能,选择哪款芯片考虑仪器、供电电源等各种误差,如何能测量准确 4、在实验室面包板上搭建系统、调试电路,测试逻辑功能,测量数据,绘制波形,并进行误差分析。 5、按要求完成实验报告 四、实验任务 1、查阅实验过程中所用芯片技术手册,给出相关技术指标和逻辑功能真值表,画出芯片物理与逻辑引脚图。 * 2、验证74LS00的逻辑功能,自行设计电路测试 V OL 、V OH 、 I CCL 、I CCH 等参数。 低电平输出电源电流I CCL 和高电平输出电源电流I CCH 说明: 芯片处于不同的工作状态,电源提供的电流是不同的。以与非门为例,I CCL 是指所有输入端悬空,输出端空载时,芯片输出低电平时电源提供器件的电流。I CCH 是指输出端空载,每个门各有一个以上的输入端接地,其余输入端悬空,芯片输出高电平时电源提供给器件的电流。通常I CCL >I CCH ,它们的大小标志着器件静态功耗的大小。器件的最大功耗为P CCL =V CC I CCL 。

相关文档 最新文档