文档库 最新最全的文档下载
当前位置:文档库 › 八人抢答器数电实验报告

八人抢答器数电实验报告

八人抢答器数电实验报告
八人抢答器数电实验报告

精品文档

中国矿业大学信电学院

实验报告

课程名称成绩

实验名称班级

姓名学号同组人实验台号实验日期

教师签字

实验原始记录

专业、班级姓名同组人课程名称实验名称

实验记录:

实验日期任课教师

八人抢答器电路的设计与实现

一、实验目的

培养综合运用数字电子技术知识进行简易数字电子系统设计,及利用 EWB软件进行仿真的能力。培养我们同学对于各种电路的设计能力,提高同学们的兴趣。

二、实验要求

设计一个功能完整、实用的简易八人抢答器,并在计算机上完成电路仿真。

三、八人抢答器设计任务与要求

1、可容纳 8 组参赛的数字式抢答器。

2、电路具有第一抢答信号的鉴别与保持功能。

3、抢答优先者声光提示。

4、回答计时与计分。

四、实验原理框图

该抢答器结构组成如下图所示。

分析电路:( 1)抢答器部分:

该部分的原理:

主持人控制开关 space 清零开关,该开关控制着 D 触发器的异步置零端,主持人开关接地的时候,触发器清零,所有灯都熄灭。然后抢答开始,选手可以使用自己的开关,假如是 A 选手抢答, A 就应该领先于其他选手将开关接地,然后相当于或非门的状态是由 0 到 1,产生上升沿脉冲,触发器的输出 Q状态和 D 相同,由于 D 始终接的都是高电平,所以 A 开关接地的时候, A 对应的指示灯亮。而通过 A 选手控制的 D 触发器输出端 Q状态为 1,则其他或非门输出始终是 0,其他人抢答将无效。

所有选手的开关接在一个 8 输入端与门的输入端上,使得有一个选手将开关

接地的时候,与门的输出就会是 0.

分析电路:( 2)555 定时器提供秒脉冲部分:

该部分的原理:

由上部分的与门输出端给 555 提供低电平 0,555 定时器提供 CP脉冲,它的各个引脚功能如下:

1 脚:外接电源负端VSS或接地,一般情况下接地。

8 脚:外接电源 VCC,双极型时基电路 VCC的范围是 4.5 ~ 16V ,CMOS 型时基电路 VCC的范围为 3 ~ 18V 。一般用 5V。

3 脚:输出端 Vo

2脚:低触发端

6脚: TH高触发端

4脚:是直接清零端。当此端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为“ 0”,该端不用时应接高电平。

5脚: VC为控制电压端。若此端外接电压,则可改变内部两个比较器的

基准电压,当该端不用时,应将该端串入一只 0.01 μF 电容接地,以防引入干扰。

7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。

在 1 脚接地,5 脚未外接电压,两个比较器 A1、A2 基准电压分别为的情况下,555 时基电路的功能表如表 1 示。

精品文档

表 1

清零端高触发端 TH低触发端Q放电管 T功能0x x0导通直接清零

101x保持上一状保持上一状

态态110x保持上一状保持上一状

态态1001导通置 1

110截止清零下图为用 555 定时器设计的多谐振荡器的电路图及其电路产生的波形。

由多谐振荡器原理,结合上图可知其振荡周期T=T1+T2。T1 为电容充电时间,T2 为电容放电时间。

电路图中所示, C=10uF,R1=72.14K? ,R2=30.54K?

则带入数据计算可得,矩形波的振荡周期T=0.93254s 约等于 1s。

该部分的原理:

这部分通过两个数码管显示某选手回答问题的时间,当有选手开始回答时,选

手开关接地, 555 定时器可以开始工作,给该 60 进制计数器提供脉冲,使其开始

计数,当选手停止回答问题,选手将开关接高电平, 555 定时器停止工作,

计数器也不再处于计数状态。假如选手回答问题的时间超过30 秒,超时警告灯亮,并且蜂鸣器响,作为警告。

另外介绍译码显示电路(数码管)的接线方法:

本计数器由两个 10 进制计数器构成 60 进制计数器,右边的 10 进制计数器作

为个位,左边的 10 进制计数器作为十位。输入端全部接地,计数开始循环一周后

通过置位法自动进行归 00,之后再继续循环计数。

主持人控制开关 [1] ,当选手回答正确的时候,主持人将开关接高电平,给

对应的 74LS160提供上升沿脉冲,然后该计数器对应的数码管加1,然后主持人控制的加分开关再置回低电平。每个加计数器的ET、EP与 LOAD’接非门输出端,而每个非门的输入端接选手开关处,使得选手回答问题时候出于低电平,会给对应的加计数器这三个端口提供高电平,是对应加计数器工作。

74ls160 的引脚接线方法及引脚功能如下:

五、器件清单列表:

元件清单

元件序号主要参数数量备注

18个 D 触发器

210个同步十进制加计数器31个4输入端与非门

41个8输入端与门

58个8输入端或非门

68个非门

710个双掷开关

82个蜂鸣器

910个数码管

102个2输入端与门

111个3输入端或门

129个小灯泡

131个555定时器

1430.54K?1个电阻

1572.14K?1个电阻

1610uF1个电解电容

1710nF1个电容器

181个8输入端或门

191个电源

六、综合设计实验总结

设计小结

本次数字电子技术实验为创新研究型综合设计实验,旨在培养我们的综合运用

数字电子技术进行简易数字设计的能力,同时提到高我们对EWB软件综合运用的

能力。

由于我们已经做过三人抢答器以及计数器实验,因此我在此基础上选择课题

为《八人抢答器》。课题所涉及到的有关理论知识和实现器件几乎全部来源于课

本知识的扩展及应用,抢答器包含的四部分:抢答器( D 触发器构成)、CP脉冲(555 定时器构成)、计时部分(74LS160构成)、记分部分(74LS160构成),其设计思想来源于对理论知识的提高运用,在设计过程中,我查阅课本及课外资料,更进一步加深了对课本相关重点知识点的理解,进一步发现了自己理论知识的不足。

我觉得本次设计印象最深刻的是计分部分的设计,最初方案是主持人要用八个

开关控制八个抢答的加分,开关多,利用率低,同时违背了老师一直强调的电子

设计的最佳方案是用最少的元件实现需要的功能的原则,同时主持人控制开关

太多,失去了电子产品操作简单、智能的要求。思考很久,最后用一个开关和相应

连接非门实现了单个开关控制所有人的加分的功能,我觉得无论在结果还是设计

过程,计分部分的改进让我收获最多。

不足与思考

当然,本次设计还有不足的地方,例如,由于当前我的知识能力限制,设计

存在暂时不能将加计数器改为减计数器,计时部分不能记忆时间等缺陷,在以后进一步学习相关知识后,还要对自己设计的产品进行改进,以进一步实现所设计产品的智能化、实用化。

感悟与建议

本次设计在和同学合作的基础上,花费五个多小时完成,当看到一根根导线

连接成的设计方案初步实现预想目标时,心里有说不出的激动,过程虽艰苦,结果令人很是欣慰,也希望在今后的专业学习中,学院老师能够多鼓励、多提供给我们类似的锻炼机会,我觉得通过这种方式,我们收获的不仅知识,更重要的是主动学习与创新的能力的提高。

精品文档

欢迎您的下载,

资料仅供参考!

致力为企业和个人提供合同协议,策划案计划书,学习资料等等

打造全网一站式需求

四人竞赛抢答器实验报告

数电实验报告 姓名:侯婉思 专业:通信工程 班级:1111 学号:11387121 指导老师:田丽娜

四人竞赛抢答器实验报告 一.前言 现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。 对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。 本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答功能外,还具有优先能力,定时及复位功能。主持人通过控制开关使抢答器达到复位的功能。 二.实验目的 1. 学习并掌握抢答器的工作原理及其设计方法 2. 熟悉各个芯片的功能及其各个管脚的接法。 3. 灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。 三.设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的竞赛抢答器。选手抢答时,数码显示选手组号。 2.设计要求: 抢答器的基本功能: 1.设计一个智力抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个按钮S0——S3表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管清零)。 3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,此外,要封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。 简言之,有选手按下时,显示选手的编号。同时,其他人再按下时电路不做任何处理。也就是说,如果有选手按下以后,别的选手再按的话电路不会显示是他的编号。 4.可用555定时器产生频率为1H z的脉冲信号,作为触发器的CP信号。四.四人竞赛抢答器电路原理及设计

多路智力抢答器实验报告

湖北经济学院 数字电子技术课程设计报告 课题名称:数字电子技术课程设计指导教师: 学生班级: 学生姓名: 学号: 学生院系: 2012年4月

设计任务 一、基本功能 1、设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,分别用八个抢答按钮So、S1、S 2、S 3、S 4、S 5、S 6、S7表示。 2、设置一个由主持人控制的控制开关,用来控制系统清零和抢答。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时蜂鸣器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 二、扩展功能 1、抢答器具有定时抢答的功能,抢答时间为30秒。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出声响,声响持续时间为0.5秒左右。 2、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。

设计报告 一、设计目的 1、学习数字电路中的优先编码器,锁存器,计数器,时序控制电路,多谐振荡器等单元电路的综合运用。 2、掌握各芯片的逻辑功能及使用方法。 3、了解面包板结构及其接线方法。 4、了解数字抢答器的组成及工作原理。 5、熟悉数字抢答器的设计与制作。 二、设计步骤 1、画出原理框; 2、根据原理框图,把框图中每个部分电路设计出来,画出电路图; 3、仿真调试; 4、搜集元器件; 5、搭建电路,实现功能。 三、具体设计过程 1、画出原理框图

数电实验报告 计数器

实验报告 实验七计数器原理测试及其设计 2.7.1 实验目的 1.掌握中规模集成计数器74LS160、74LS161、74LS163的逻辑功能及使用方法。 2.掌握同步清零与异步清零的区别及74LS160计数器的级联方法。 3.学习用中规模集成计数器设计任意进制计数器。 2.7.2 实验仪器设备与主要器件 实验箱一个;双踪示波器一台;稳压电源一台;函数发生器一台。 74LS160,74LS161和74LS163。 2.7.3 实验原理 计数器的功能是记录输入脉冲的个数。他所能记忆的最大脉冲个数称为该计数器的模。计数器不仅能统计输入脉冲的个数,还可以用作分频、定时、产生节拍脉冲等。根据进位方式,可分为同步和异步两类。根据进制,可分为二进制、十进制和任意进制等。根据逻辑功能,可分为加法计数器、减法计数器和可逆计数器等。根据电路集成度,可分为小规模集成计数器和中规模集成计数器。 2.7.4 实验内容 1.分别用74LS161和74LS163设计模13计数器,采用清零法实现,并用数码管显示实验结果。 设计思路:74LS161是十六进制计数器,所以我在它计数到13(1101)清零就行了,再利用二进制数与BCD码对应关系,即利用74LS283的逻辑功能使数码管显示实验结果。计数时电路状态转换关系: 0000→0001→0010→0011→0100→0101→0110→0111→1000→1001→1010→1011→1100→0000

设计思路:74LS163接法与74LS161基本一样,只是163的清零信号是12不是13,如图: 2.设计一个用3位数码管指示的六十进制计数器,并用三只开关控制计数器的数据保持、计数及清零功能。 设计思路:用Cr=0控制计数器清零,用EP*ET=0控制计数器数据保持,用高低电平和CP脉冲进行与运算控制计数器计数功能。U1的清零信号是在计数到6时,U1清零的同时U3开始计数,这样就能实现用3位数码管指示的六十进制计数器。如图:

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

数电实验报告

数字逻辑与数字电路实验报告 实验名称简易迷宫游戏

一、设计课题的任务要求 题目:简易迷宫游戏 设计并实现一个简易迷宫游戏机。 【基本要求】: 1、用8×8 点阵进行游戏显示。 2、迷宫游戏如图1 所示,采用双色点阵显示,其中红色LED 为迷宫墙壁,绿色LED表示人物。通过BTN0~BTN3 四个按键控制迷宫中的人物进行上下左右移动,使人物从起始点出发,走到迷宫的出口,游戏结束。 3、普通计时模式:通过按键BTN7 启动游戏,必须在30 秒内找到出口,否则游戏失败。用两个数码管进行倒计时显示。游戏胜利或者失败均要在8×8 点阵上有相应的画面出现。 4、迷宫中的人物在行走过程中,如果碰到墙壁,保持原地不动。 【提高要求】: 1、多种迷宫地图可以选择。 2、在计时的基础上增加计步的功能,每按一次控制按键步数加1,碰壁不计算步数,计步结果用数码管显示。 3、为游戏增加提示音乐,在不同时间段采用不同频率的信号控制蜂鸣器发声报警。 4、增加其他游戏模式。 5、自拟其它功能。 二、系统设计(包括设计思路、总体框图、分块设计) 设计思路: 依据题目要求,在实验中需要使用到8*8双色点阵输出迷宫图案,使用数码管输出计步步数和倒计时时间,使用蜂鸣器发出警报。由于实验要求需要使用到大量的按键输入。所以需要在输入输出模块中需要按键消抖模块。实验的输出模块共有点阵输出模块,数码管输出模块,蜂鸣器输出模块,在数码管和点阵输出中需要使用到扫描输出的概念。在游戏进行中需要实时判断并且记录人的位置,需要进行记时,计步,所以在整个系统中需要使用状态机进行当前状态转换,控制整个程序。所以在核心实现模块中包括行走模块,状态输出模块,计步模块,计时模块。 输入部分:消抖模块 时钟部分:多级分频器 控制部分:倒计时器,计步器,行走模块,状态机

数字电路3人抢答器实验报告

《数字电路与逻辑课程设计》报告 (本科) 题目三人抢答器设计 专业网络工程 班级 1305022 学号 11 姓名牟黎明评定成绩 指导教师李小平、易兴兵 完成时间 2015年 6月1日----2015年6月5日 电子工程学院 二零一五年五月

一、实习目的: 1. 数字电子技术知识的综合应用,包含: (1)门电路的应用 (2)编码器的应用 (3)JK触发器的应用 (4)显示译码器的应用 (5)七段数码显示器的应用 2. 学习电路安装图的绘制方法。 3. 学习电路的调试方法。 二、实习设备及实验器件清单: 实验器件: 1.双下降沿JK型触发器74LS112 2个 2.三3输入与非门74LS10 2个 3.四2输入与非门74LS00 2个 4.4线-七段译码器/驱动器74LS48 1个 5.LED共阴极显示器AR547 1个 6.触发开关5个 7.10K电阻5个 8.1K电阻3个 9.铜导线若干 10.锡焊丝若干

实验工具: 1.电烙铁每组一个 2.剪刀每组一把 3.镊子每组一把 4.学生电源每两组一个 5.图纸每组一张

三、实习内容 1. 原理方框图 2. 电路原理图

3. 抢答流程图 四、阐述电路工作原理。 当主持人按动复位开关SW对前一次的记录进行清除,座位显示器显示“0”,进入抢答准备阶段,但此时选手无法抢答(抢答无效,信号被封锁)。 当主持人按动开关SW1时,进入抢答时段,锁存电路输出高电平作用于触发器1、2、3的JK端。抢答信号(K1、K2、K3)以负脉冲形式作用于JK触发器时钟端,最早抢入的输入信号使该电路触发器最先翻转,输出的抢答信号一路经门F4、F5以下降沿作用于锁存电路(JK 触发器,工作于置“0”状态)时钟端,输出低电平使三路JK触发器的工作状态由“翻转”变为“保持”,后续的抢答信号不能使其他触发器产生翻转。这样就封锁了后到的信号。输出的抢答信号同时以低电平驱动座位提示灯。 三路JK触发器输出的组合信号经门电路F1、F2、F3、F6、F7、组成的识别电路,驱动座位显示电路,以数字显示的方式显示抢答成功选手座位号。 五、三人抢答器安装图绘图纸的设计。

数电实验报告:实验4-计数器及应用161

广东海洋大学学生实验报告书(学生用表) 实验名称 课程名称 课程号 学院(系) 专业 班级 学生姓名 学号 实验地点 实验日期 实验4 计数器及其应用 一、实验目的 1、熟悉中规模集成计数器的逻辑功能及使用方法 2、掌握用74LS161构成计数器的方法 3、熟悉中规模集成计数器应用 二、实验原理 计数器是典型的时序逻辑电路,它是用来累计和记忆输入脉冲的个数.计数是数字系统中很重要的基本操作,集成计数器是最广泛应用的逻辑部件之一。计数器种类较多,按构成计数器中的多触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器;根据计数制的不同,可分为二进制计数器、十进制计数器和任意进制计数器;根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等。本实验主要研究中规模十进制计数器74LS161的功能及应用。 1、中规模集成计数器 74LS161 是四位二进制可预置同步计数器,由于它采用4 个主从JK 触发器作为记忆单元,故又称为四位二进制同步计数器,其集成芯片管脚如图1所示: 管脚符号说明:电源正端Vcc ,接+5V ;异步置零(复位)端Rd ;时钟脉冲CP ;预置数控制端 A 、B 、C 、D ;数据输出端 QA 、QB 、QC 、QD ;进位输出端 RCO :使能端EP ,ET ;预置端 LD ; 图1 74LS161 管脚图 GDOU-B-11-112

该计数器由于内部采用了快速进位电路,所以具有较高的计数速度。各触发器翻转是靠时钟脉冲信号的正跳变上升沿来完成的。时钟脉冲每正跳变一次,计数器内各触发器就同时翻转一次,74LS161的功能表如表1所示: 表1 74LS161 逻辑功能表 2、实现任意进制计数器 由于74LS161的计数容量为16,即计16个脉冲,发生一次进位,所以可以用它构成16进制以内的各进制计数器,实现的方法有两种:置零法(复位法)和置数法(置位法)。 (1) 用复位法获得任意进制计数器假定已有N进制计数器,而需要得到一个M进制计数器时,只要M<N,用复位法使计数器计数到M时置“0”,即获得M进制计数器。 (2) 利用预置功能获M进制计数器置位法与置零法不同,它是通过给计数器重复置入某个数值的的跳越N-M个状态,从而获得M进制计数器的,如图所法。置数操作可以在电路的任何一个状态下进行。这种方法适用于有预置功能的计数器电路。图2是上述二种方法的原理示意图。 图2(a) 图2(b) 三、实验内容与步骤 1、测试74LS161的逻辑功能。 2、在熟悉74LS161逻辑功能的基础上,利用74LS161设计9进制计数器。 附图74ls00和74ls20

四人抢答器实验报告

福州大学电气工程与自动化10级 设计性实验报告 实验目的: 1、掌握电路板焊接技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 实验所用原件清单: 芯片:74LS175,74LS192,74LS48x2,74LS00,74LS20x2,555,电阻:

R1=1K,R2=10K,电容:C=0.1μF,七段共阴极数码管x2 原理(包括主要公式、电路图): 如下图所示为四人抢答电路,电路中的主要器件是74LS175型四上升沿D触发器、74LS192可逆十进制计数器和两个译码显示电路。 抢答前先用RD’清零,Q1~Q4均为0,相应的选手编号数码管显示0;Q1’~Q4’均为1,G1输出0,G2输出1,CP1可经过G3输入到74LS175。同时,倒计时数码管被置为9。抢答开始,RD’置1,倒计时开始。若S1首先按下,则D1和Q1均变为1,相应的选手编号数码管显示1(以此类推);555芯片的4脚接收到高电平,发生振荡,导致喇叭发出声音;同时,G2输出为0,使得175和192芯片不接收脉冲,175芯片进入自锁状态,此时再按S1~S4无效果,而192芯片也停止计时,倒计时数码管保持抢答时的数字不变。若倒计时到0,S1~S4均未按下,则倒计时停止,倒计时数码管保持0;175芯片进入自锁状态。 利用RD’清零,进入下一次抢答。

心得体会及其他: 1、本次设计性实验令我受益匪浅:在设计的过程中,对于各个芯片管脚功能和四路抢答电路原理的学习让我得到了更多知识;对电路板的元件布局锻炼了我的思维能力;在焊接过程中,我又一次提高了我的焊接技术和排查短路、虚焊的能力;在调试过程中,我懂得了分功能、局部进行故障排查,并取得良好效果。 2、故障排查: (1)、电路板电源与地线短路:可逐个对接电源、地的点进行排查(排查时应把它们和响应的电源或地断开)。 (2)、数码管个别段不能发光:怀疑为数码管管脚之间短路,可用电烙铁肃清两脚之间的间隙。 (3)、抢答功能不能实现:抢答功能局部电路接错或虚焊,可用

抢答器实验报告要点

扬州大学能源与动力工程学院本科生课程设计 题目:四人智力竞赛抢答器 课程:数字电子技术基础 专业:电气工程及其自动化 班级:电气0901 学号:091302111 姓名:冯承超 指导教师:年漪蓓蒋步军 完成日期: 2011年6月24日

总目录第一部分:任务书 第二部分:课程设计报告 第三部分:设计图纸

第一部分 任 务 书

《数字电子技术基础》课程设计任务书 一、课程设计的目的 1、使学生进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力; 2、使学生基本掌握常用电子电路的一般设计方法,提高电子电路的设计实验能力; 3、熟悉并学会选用电子元器件,为以后从事生产和科研工作打下一定的基础。 二、课程设计的要求 1、设计时要综合考虑实用、经济并满足性能指标要求; 2、必须独立完成设计课题; 3、合理选用元器件; 4、按时完成设计任务并提交设计报告。 三、课程设计进度安排 1、方案设计;(半天) 2、电路设计:(一天) 3、装配图设计:(半天) 4、电路制作:(两天) 5、总结鉴定:(一天) 四、设计要求 1用中小型规模集成电路设计出所要求的电路; 2、在实验箱上安装、调试出所设计的电路。 3、部分课题要求用可编程逻辑器件(FPGA/CPLD)设计实现; 4、在EDA编程实验系统上完成硬件系统的功能仿真。 5、写出设计、调试、总结报告。 五、使用仪器设备 1、稳压电源(±5V,±15V); 2、实验电路箱; 3、低频信号发生器; 4、示波器。 六、设计总结报告主要内容 1、任务及要求; 2、方案特点; 3、各组成部分及工作原理(应结合框图写); 4、单元电路设计与调试; 5、总逻辑图; 6、总装配图。

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

八路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目: 八路智力竞赛抢答器设计 姓名: 专业: 电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

路抢答器实验报告

系别:电子工程系 班级:电子101 学号:23 姓名:李光杰 指导老师:佘明辉2011年6月23日星期四

八路智力竞赛抢答器设计 一.实验目的 掌握抢答器的工作原理及其设计方法。 学会用Multisim8软件操作实验内容。 掌握设计性试验的实验方法 二.实验要求 八路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统封锁输入电路,禁止选手超时后抢答,时间显示器上显示00. 三.实验原理 根据对功能要求的简要分析,将定时抢答器电路分为主题电路和扩展电路两部分。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答器按钮

数电实验报告实验六计数译码显示综合实验整理版.docx

数电实验报告 实验六 计数、译码、显示综合实验 姓名: 学号: 班级: 院系: 指导老师: 2016年

目录 实验目的: (22) 实验器件与仪器: (22) 实验原理: (33) 用同步清零端或置数端置零或置数构成N进制计数器 (33) 用同步清零端或置数端置零或置数构成N进制计数器 (33) 实验内容: (44) 实验过程: (55) 实验总结: (66) 实验: 实验目的: 1.熟悉中规模集成电路计数器的功能及应用。 2.熟悉中规模集成电路译码器的功能及应用。 3.熟悉LED数码管及显示电路的工作原理。 4.学会综合测试的方法。 实验器件与仪器: 1.实验箱、万用表、示波器。

2.74LS160、74LS48、74LS20 实验原理: 对于计数规模小的计数器,我们使用集成触发器来设计计数器,但是如果计数器的模数达到十六以上(如六十进制)时,如果还是用集成触发器来设计的话,电路就比较复杂了。在这种情况下,我们可以用集成计数器来构成任意进制计数器。利用集成计数器的清零端和置数端实现归零,从而构成按自然态序进行计数的N进制计数器的方法。 用同步清零端或置数端置零或置数构成N进制计数器用这种方法的实现步骤如下: 1)写出状态S N-1的二进制代码。 2)求归零逻辑,即求同步清零端或置数控制端信号的逻辑表达式 3)画连线图 用同步清零端或置数端置零或置数构成N进制计数器用这种方法的实现步骤如下: 1)写出状态S N得二进制代码 2)求归零逻辑,即求异步清零端或置数控制端信号的逻辑表达式

3)画连线图 在集成计数器中,清零、置数均采用同步方法的有74LS163;均采用异步方法的有74LS193、74LS197、74LS192;清零采用异步方法、置数采用同步方法的有74LS161、74LS160;有的只具备异步清零功能,如CC4520、74LS190、74LS191;74LS90则具有异步清零和异步置9功能。 实验内容: 1.用集成计数器74LS160分别组成8421码十进制和六进制计数器, 然后连接成一个60进制计数器(6进制为高位,10进制位低位)。 使用实验箱上的LED译码显示电路显示(注意高低位顺序及最高位的处理)。用函数发生器的低频连续脉冲(调节频率为1-2Hz)作为计数器的计数脉冲。通过数码管观察计数、译码、显示电路的功能是否正确。 2.设计一个时间计数器,具有分钟和秒计时功能的计数器。

八路抢答器实验报告

电子工艺实习报告 1.实验内容: 学习电子工艺理论,包括焊接技术、常用器件和八路抢答器原理等等; 在练习板上进行焊接练习,包括至少四十个电阻(包括立式和卧式)、四十根导线(包括硬线和软线);根据所学内容和所给材料焊接八路抢答器并验收。 2.实验目的: 初步了解和学习电子工艺的相关知识理论,通过实际焊接提高动手能力,加深对知识的理解,为以后的专业学习打好基础。 3.焊接技术: ·电烙铁分为外热式、内热式、恒温式和吸锡器电烙铁,握法分为正握法、反握法、握笔法三种。镀锡防止氧化,使用后保持电烙铁清洁挂 锡,以防再次加热时出现氧化。 ·焊料:易熔的金属合金又称焊锡丝,特点是熔点比被焊物的熔点低,450度以上称硬焊料,450度以下称软焊料。作用是将被焊物连接在 一起。 ·焊剂包括松香、焊油、镪水等,作用是清除被焊物表面氧化物及杂质,保证焊锡及被焊物之间发生合金反应。 ·焊接工艺要求:焊接的机械强度要足够;焊接可靠,保证导电性能良好;焊点表面要光滑清洁,不能出现焊点表面粗糙、拉尖、毛刺等现 象。 ·操作要领:焊接时烙铁与引线、印制板、铜箔之间的接触位置关系; 焊接的温度和时间要掌握好;焊接时被焊物要固定;焊料使用要适量,将焊锡丝和电烙铁同时作用于被焊物两端,当焊料的扩散范围达到要 求后,迅速拿开烙铁和焊锡丝,拿开焊锡丝的时间不得迟于拿开烙铁 的时间;焊点重焊时必须与上次的焊锡一同溶化,并溶为一体时才能 把电烙铁移开;剪掉多余引线。 ·拆焊:依据情况分为用烙铁直接解焊、采用专用工具、采用吸锡烙铁或吸锡器、利用铜丝编织的屏蔽线电缆或较粗的多股导线用为吸锡材 料等方法。 4.对元器件焊接要求: 遵循先小后大,先低后高,先轻后重,先内后外的原则;电阻标记方向一致、高低一致;电容标记方向要容易看,先焊无极性电容再焊有极性的;二极管正负极性一致、高低一致;集成芯片先弄清引脚顺序,再焊对角然后依次从左到右从上到下焊起,时间不超过3秒。 5.元器件的装配工艺及绘制电路板图: ·元器件的插装方法分为卧式和立式; ·布局布线:布置均匀,密度一致,横平竖直,不许斜排或交叉重排,避免相互干扰; ·上下级输出输入要紧接。 6.工业生产焊接技术:包括浸焊、波峰焊、再流焊。 7.焊接技术的发展。 8.元器件介绍:

CD4511-NE555八路抢答器实验报告1

电子课程设计报告4511型八路数显抢答器 学生姓名: 专业:电气自动化技术 班级:10电气一班 学号: 指导教师: 同组成员: 时间:2011年11月15号至2011 年11月25

第一章绪论 1.1关于4511型数显抢答器 八路智能抢答器主要由数字优先编码电路、锁存/译码/驱动电路于一体的CD4511集成电路、数码显示电路和报警电路组成。优先编码电路、C D4511集成电路将参赛队的输入信号在数码显示管上输出,用报警电路对时间进行严格控制,这样就构成了八路智能抢答器电路。 八路数字抢答器电路包括抢答,编码,优先,锁存,数显,复位及抢答键。抢答器数字优先编码电路由D1-D12组成,实现数字的编码。CD4511是一块含BCD-7段锁存/译码/驱动电路于一体的集成电路。抢答器报警电路由NE555接成音多谐振荡器构成。抢答器数码显示电路由数码管组成,输入的BCD码自动地由 CD4511内部电路译码成十进制数在数码管上显示。 1.2 选题的目的和意义 通过这次课程设计,让我了解到了八路智能抢答器的结构组成和工作原理,同时了解焊接的方法和技巧。 1.3 课题研究的内容 八路智能抢答器是采用了CD4511集成芯片来实现功能要求的,在抢答过程中,每个选手都有一个抢答按钮。在主持人按下复位键宣布抢答开始的时候,选手就开始进行抢答,在指定时间内选手进行抢答,数码显示屏上会显示最先抢答选手的编号。如果主持人没有按下开始键而选手就抢答视为犯规,数码显示屏显示犯规者的编号,扬声器持续发生。主持人可按复位键,新一轮抢答开始。

第2章抢答器的系统概述 2.1 系统的主要功能简介 4511型八路数显抢答器的主要功能有如下三点: 1. 可同时供8名选手参加比赛,其相应的编码分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应。 2.给主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。 2.2 抢答器的工作过程 1、开始上电之后,主持人按复位键,抢答开始。如有选手按下抢答键,报警电路会发出讯响声,并且数码显示电路上会显示成功抢答的选手的编号。 2、当有选手抢答成功之后,系统就进行了优先锁存,其他抢答选手抢答无效。 3、如果主持人未按下复位键,而有人按了抢答按键,此次抢答无效,只有当主持人按下了复位键,选手才能进行顺利抢答。 总而言之,本课题利用简单逻辑数字电路设计了智能抢答器,该抢答器具有基本的强大功能,提高了系统的可靠性、简化了电路结构、节约了成本,但是此抢答器功能还不够强大,还有很多功能无法实现,需要我们继续学习和研究。

北邮-数电实验报告

北邮-数电实验报告

数字电路实验报告 学院:信息与通信工程 专业:信息工程 班级:2013211125 学号:2013210681 姓名:袁普

②:仿真波形图以及分析 波形图: 波形分析:通过分析ab ci三个输入在8中不同组合下的输出,发现与全加器的真值表吻合,说明实现了全加器的逻辑功能。同时看见波形中出现了毛刺(冒险),这也与事实一致。 ③:故障及问题分析 第一次在做全加器的时候发现找不到已经生成的半加器模块,后来发现是因为在建立工程时这两个项目没有建在同一个文件夹里,在调用的时候就找不到。后来我将全加器工程建在同一个文件夹里解决了此问题。

实验二:用VHDL设计和实现组合逻辑电路 一:实验要求 ①:用VHDL设计一个8421码转换为格雷码的代码转换器,仿真验证其功能。 ②:用VHDL设计一个4位二进制奇校验器,要求在为奇数个1时输出为1,偶数个1时输出为0,仿真验证其功能。 ③:用VHDL设计一个数码管译码器,仿真验证其功能,下载到实验板测试,要求用拨码开关设定输入信号,数码管显示输出信号,并且只使一个数码管有显示,其余为熄灭状态。 二:故障及问题分析 在刚开始实现让一个数码管显示的时候,我本来准备再设置6个输入和输出,通过实验板上的拨码来输入信息分别控制不同的数码管的的开闭状态,但是后来发现这样效率很低而且实验板上的拨码开关数量根本不够。在老师的提醒下,我最终在VHDL里直接增加了一个向量输出”011111”来直接控制cat0~5六个管脚,从而达到了实验的要求。

实验三:用VHDL设计和实现时序逻辑电路 一:实验要求 ①:用VHDL语言设计实现一个8421十进制计数器,要求有高电平复位功能,仿真验证其功能。 ②:用VHDL语言设计实现一个分频系数为12,输出为占空比50%方波的分频器,有高电平复位功能,仿真验证其功能。 ③:将(1),(2)和数码管译码器三个电路进行连接,仿真验证其功能,并下载到实验板进行测试,要求第三个数码管显示数字。二:报告内容 ①实验三(3)模块端口说明及模块代码 模块一:div12为一个有高电平复位功能的分频系数为12的分屏器,其输出是一个占空比50%的方波。此模块输入连接一个时钟输入,即可在输出端得到一个周期更大的方波输出。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div12 is port( clear,clk:in std_logic; clk_out:out std_logic ); end div12; architecture struct of div12 is signal temp:integer range 0 to 5; signal clktmp:std_logic; begin process(clk,clear) begin if(clear='1') then

24秒篮球倒计时数电实验报告

24秒篮球倒计时数电实验报告

法商学院 《数字电路课程设计》 课程设计报告 专业: 应用电子技术 班级: 应电11301 姓名: 周灵 姓名: 李雄威 指导教师:沈田

课程设计任务书 设计题目:篮球竞赛24秒倒计时器 设计任务与要求: 设计一个篮球竞赛24秒倒计时电路,该电路能实现如下功能: 1)24秒倒计时显示功能; 2)设置外部控制开关,控制计数器的重置“24”、启动和暂停功能; 3)计数器递减至0(即时间到)时,数码管显示“00”,同时发出光电报警信号。 一、电路设计原理 经过对电路功能的分析,整个电路主要由控制电路、秒脉冲信号发生器、计数器、译码器和报警电路五个部分组成。示意图如图1所示。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不是太高,故电路可采用555集成电路或由TTL与非组成的多谐振荡器构成。主体电路:24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器开始进行倒计时,逐秒倒计一之到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停止。

图1-1 24秒计时器系统设计框图 二、单元电路分析 (一)控制电路 控制电路由74LS00芯片和74LS10芯片组成,实现计数器的复位、计数和保持“24”数字显示,以及报警的功能。如图2-1-1为EWB控制电路仿真图。 图2-1-1 EWB控制电路仿真图 (1)开关A:启动按钮、复位按钮 开关A接地时,计数器保持“24”状态不变,处于等待状态; 当开关A闭合时,计数器开始计时,当计数器递减计数到零时,控制电路产生报警信号; 当开关A再次接地时,计数器立即复位到预置数值,即“24”。 (2)开关B:归零按钮 当开关B接高电平时,不管计数器显示任何数值,计数器立即归零,即“00”。(3)开关C:暂停按钮 当暂停/连续开关(开关C)暂停时,计数器暂停计数,显示器保持不变; 当暂停/连续开关(开关C)处于连续时,计数器继续倒计时计数。 (二)秒脉冲发生器 为了给计数器74LS192提供一个时序脉冲信号,使其进行减计数,本设计采用555构成的多谐振荡电路(即脉冲产生电路),其基本电路如图2-2-2。

八路抢答器实验报告

八路抢答器实验报告-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

八路智力竞赛抢答器设计 一.实验目的 掌握抢答器的工作原理及其设计方法。 学会用Multisim8软件操作实验内容。 掌握设计性试验的实验方法 二.实验要求 八路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是0、1、2、3、4、5、6、7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 扩展功能: 1.抢答器具有定时抢答的功能。当节目支持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统封锁输入电路,禁止选手超时后抢答,时间显示器上显示00. 三.实验原理

根据对功能要求的简要分析,将定时抢答器电路分为主题电路和扩展电路两部分。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答器按钮时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答及报警功能。 比赛开始时,接通电源,节目主持人将开关置于“清零”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器上显示设定时间。当节目主持人宣布“抢答开始”,同时将控制开关拨到“开始”位置,抢答器处于工作状态,定时器开始倒计时。若定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。若选手在定时时间内按动抢答按钮时,抢答器要完成以下四项工作:1.优先编码器电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号; 2.扬声器发出短暂声响,提醒节目主持人注意; 3.控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答; 4.控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到主持人将系统清零为止。当选手将问题回答完毕时,主持人操作控制开 关,使系统回复到禁止工作状态,以便进行下一轮抢答。 上述方案所示抢答器的工作过程:主持人按动开始抢答的开关后,最先抢答的选手的电平信号先经过优先编码器,再依次经过数据锁存器,此时已经限制了其他选手的抢答,信号再经过译码器和七段数码显示器,将最先抢答的该

数电实验报告

班级:姓名: 学号: 实验报告(一)TTL集成逻辑门的逻辑功能与参数测试1.测试TTL集成与非门74LS20的逻辑功能,测试结果记录如下表: 输入输出 An Bn Cn Dn Yn 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 2. 74LS20主要参数的测试 I CCL (mA) I CCH (mA) I il (mA) I OL (mA) N O= iL OL I I 3. 电压传输特性测试 V i(V) 0 0.4 0.7 0.9 1.0 1.1 1.2 1.3 1.4 2.0 3.0 4.0 … V O(V) 4.画出实测的电压传输特性曲线,并从中读出各有关参数值。

实验报告(二)CMOS 电路 1.用所给的集成电路(CD4007)实现F=ABC ,将实验结果填入真值表中,并测出高、低电平(真值表自拟,测试步骤自拟)。 2. 用所给的集成电路实现F=C B A ++(真值表自拟,测试步骤自拟)。 3. 用所给的集成电路,构成图2-2反相器。 (a )测最大灌电流I OL (V OL =0.1V ,接通图2-2中的虚线框①)。 (b )测最大拉电流I OH (V OH =4.9V,断开虚线框①,接通虚线框②。 4. 构成如图2-3所示的反相器,测最大灌电流I OL 。

实验报告(三)组合逻辑电路实验分析与设计(1) 写出由与非门组成的半加器电路的逻辑表达式 (2) 根据表达式列出真值表,并画出卡诺图判断能否简化 A B Z1 Z2 Z3 S C 0 0 0 1 1 0 1 1 实验: 1.测试由与非门组成的半加器电路的逻辑功能 A B S C 0 0 0 1 1 0 1 1 2.测试用异或门74LS86和与非门74LS00组成的半加器的逻辑功能 A B S C 0 0 0 1 1 0 1 1

电子信息工程-8路抢答器实验报告

《课程设计报告》 8路抢答器 班级: 姓名: 学号: 指导教师:

目录 一、任务与要求 (2) 1、基本功能 (2) 2、扩展功能 (3) 二、8路抢答器工作原理 (3) 1、电源部分 (3) 2、抢答器部分 (4) 3、定时部分 (5) 4、时序控制报警部分 (6) 5、计分部分 (7) 三、系统总原理图 (9) 四、收获体会 (9) 一、任务与要求 1、基本功能 ●抢答器同时供8名选手,分别用8个按钮S0 ~ S7表示。 ●设置一个系统清除和抢答控制开关S,该开关由主持人控制。 ●抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,扬声器发 出声响提示,并在七段数码管上显示选手号码。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。

2、扩展功能 ●抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如20秒)。 当主持人启动"开始"键后,定时器进行减计时。如果定时时间已到,无 人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 ●设置一个计分电路,具有加减分功能,加减分以10分为基准,并在数 码管上显示得分情况。 二、8路抢答器工作原理 1、电源部分 (1)、原理 输入交流220V通过电压变压器,再通过桥式整流电路和极性电容滤波,在固定式三端稳压器的Vin和GND两端形成一个并不十分稳定的直流电压(该电压常 常会因为市电电压的波动或负载的变化等原因而发生变化)。此直流电压经过三端稳压器的稳压和其后的极性电容滤波便在稳压电源的输出端产生了精度高、稳定度好的直流输出电压。 (2)、原理图

2、抢答器部分 (1)、原理 当开关S置于闭合时,RS触发器的R、S端均为0,4个触发器输出置0,使74LS148的优先编码工作标志端(图中5号端)=0,使之处于工作状态。当开关S置于断开时,抢答器处于等待工作状态,当有选手将抢答按键按下时(如按下S5),74LS148的输出经RS锁存后,CTR=1,RBO(图中4端) =1,七段显示电路74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,CTR=1,使74LS148 优先编码工作标志端(图中5号端)=1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的此时由于仍为CTR=1,使优先编码工作标志端(图中5号端)=1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置“清除”,然后再进行下一轮抢答。 (2)、测试结果 当开关S开关闭合时,选手按键也不能抢答。当开关断开后,选手们可以抢答,并锁存优先抢答者的编号,同时译码在七段数码管上显示编号;此时其按键操作无效。当开关再次闭合再断开才可以进行下一回抢答。 (3)、原理图

相关文档
相关文档 最新文档