文档库 最新最全的文档下载
当前位置:文档库 › 展示课程-教学日历

展示课程-教学日历

展示课程-教学日历
展示课程-教学日历

湖南涉外经济学院2012 --2013 学年第二学期教学日历

课程名称展示设计艺术设计学院室内设计专业201003年级

说明:1、教学内容按每次授内容填写;2、考核方式考试与考查两种;

3、任课老师在每期开课以前根据教学大纲编写教学日历,一式四份,经学部(系、室)主任审阅同意后,

一份自存,一份交所在系,一份交所在学部,一份交学生。

学部(系、室)主任签名:任课教师签名:

大学教学日历模板

XXX大学XXX学院教学日历 周数 12 课程名称建筑设备讲课 48 习题课 主讲教师实验课 上机 院建筑学专业 09 年级 05-08 班总计 48系主任(签字)2011-2012 学年第 2 学期 日期周 次 教学内容 教材和参考资 料章节的页 次,习题布置 备注 2.251流体的主要物理性质;流体运动的参数及分类; 一维流体恒定流的连续性方程及总流能量方程; 流体阻力和流动状态。 3.032传热方式;传热过程; 电路基本概念;电磁效应与电磁感应; 直流电路基本知识;交流电路基本知识。 3.103三相交流电源供电方式及负载连接方式和特点; 变压器工作原理; 常用给排水管材及管件;给排水附件; 水泵与风机的工作原理。城镇给水系统组成及分类;

3.174建筑给水系统的方式;室内 给水量及水压的计算;室内 给水的配管; 高层建筑给水系统的概述; 建筑消防给水组成及方式、 配管方法;消防给水设备与 器材。 P104,习题 6、10 3.245城镇排水系统的体制;室内 排水系统的分类和组成;卫 生器具的介绍;排水管道的 配管;屋面排水;污水局部 处理构筑物简介;建筑中水 工程简介。 P136,习题4 3.316供暖系统的方式;热负荷计算;供暖设备及附件介绍;热水供应系统的组成及方式;燃气系统的组成及方式。 4.077建筑通风原理;建筑通风的 方式;通风系统的组成;防 火排烟。 P214,习题 5、10 4.148空气调节原理;空气处理设 备;空调冷源与制冷设备; 空调水系统;常见的几种建 筑空调系统介绍。 P247,习题 8、11 4.219城市供电;建筑供配电方式 及系统组成;用电负荷的计 算及电气设备的选择;配电 盘、柜和变配电室;建筑防 雷与接地。 P280,习题 2、3、5、6 4.2810照明的基础知识;光源、灯具及布置;照明标准和照明设计;建筑电照设计基本理论。

数电EDA课程设计电子日历

燕山大学 EDA课程设计报告书 电子日历 姓名:王斌 班级:05级电子信息工程3班 学号:050104020064 日期:2007/11/05——2007/11/14 一、设计题目:电子日历 二、设计要求:

1.能显示年,月,日,星期; 2.例如: 01.11.08. 6,星期日显示8; 3.年月日,星期可调; 4.不考虑闰年 三.设计思路: 为实现本电路得功能,采取模块电路设计方法,本电路系统主要包括以下三三大模块:. 1: 电子日历记数模块 2: 中间控制模块 3: 译码器显示模块 由于不同的月份,决定了不同的天数,因此须设计反馈电路,协调月日的关系,通过不同的月选择相应的天数:比如二月二十八天,十二月三十一天,……..这是利用真值表列出逻辑表达式,从而画出电路图如图1: 仿真图如下: 四、设计过程: 一、电子日历记数模块 1、实现星期计时: 为实现星期计时模块,计到星期日时,显示“8”,采用一般的计数器难以实现,

即可通过四个jk触发器设计而成。其电路图如下: 仿真图如下: 2、实现天数计时: 由于不同的月份,决定了不同的天数,因此须设计三个独立完成计数的计数器电路,如日计数器周期性的(28,30或31)向月计数器进位调月日的关系,即通过三个选择端(c28,c30,c31),同一时刻只能有一个有效,由其中的任一个有效端来控制相应日计数器。其电路原理图

3、实现月份及年份计时: 由用两个74160采用整体同步置数分别构成100进制和12进制计数器,分别完成年,月的计数功能。然后将两者依次异步连接,每隔12个月,月计数器向年计数器进一位,从而实现年月的周期性计数。 月份计数器电路原理图如下: 年份计数器电路图如下:

课程设计(数字日历钟表的设计)

课程设计说明书(论文) 课程名称:课程设计1 设计题目:数字日历钟表的设计 院系: 班级: 设计者: 学号: 设计时间:2013-6-19

哈尔滨工业大学 哈尔滨工业大学课程设计任务书 姓名:院(系): 专业:班号: 任务起至日期:2013 年 5 月日至2013 年 6 月19 日 课程设计题目:数字日历钟的设计 已知技术参数和设计要求: 1.数码管显示:秒、分、时(可同时显示,也可轮换显示) 2.能够设置时间,“设置按键”数量不限,以简单合理易用为好。 3.误差:1 秒/天(报告中要论述分析是否满足要求) 扩展(优秀必作) 1.设置校准键:当数字钟显示在“整点±30 秒”范围时,按动“校准键”,数字钟即刻被调整到整点,消除了±30 秒的误差。 2.加上“星期”显示(可以预置),并可以对其进行设置。 其他要求: 1.按动员老师的要求、课程设计报告规范进行设计 2.不允许使用时数字钟表、日历专用IC 电路。 3.可以使用通用器件:模拟、数字、单片机、EPLD、模块电路等。 4.设计方法不限。

工作量: 1. 查找资料 2. 设计论证方案 3. 具体各个电路选择、元器件选择和数值计算 4. 具体说明各部分电路图的工作原理 5. 绘制电路原理图 6. 绘制印刷电路图 7. 元器件列表 8. 编写调试操作 9. 打印论文 工作计划安排: 1. 查阅资料: 2. 方案论证 3. 设计、分析、计算、模拟调试、仿真、设计原理 4. 撰写报告:课程设计要求、方案论证、原理论述(原理框图、原理图)、分析、计算、仿真, PCB 图的设计,误差分析、总结,参考文献等 5. 上交课程设计论文2013-6-19 同组设计者及分工:

电子日历时钟设计

目录 1题目设计的要求 (1) 2 系统硬件设计 (1) 2.1设计原理 (1) 2.2器件的功能与作用 (1) 2.2.1 MCS51单片机AT89C51 (1) 2.2.2 串行时钟日历片DS1302 (2) 2.2.3 液晶显示LCD1602 (3) 3 系统软件设计 (4) 3.1程序流程 (4) 3.2程序代码 (5) 4 系统仿真调试 (12) 4.1仿真原理图设计 (12) 4.2仿真运行过程 (12) 4.3仿真运行结果 (13) 5 总结 (13) 6 参考文献 (13)

1题目设计的要求 通过串行日历时钟芯片DS1302生成当前日期和是时间,通过IO口传输到AT89c52芯片中,然后再将AT89c52接收到的数据输出到LCD上。要求LCD上显示的日期和时间与当前系统时间保持一致。 2 系统硬件设计 2.1 设计原理 图3.1 电路原理图 2.2 器件的功能与作用 2.2.1 MCS51单片机AT89C51 XX AT89C51是一种带4K字节FLASH存储器(FPEROM—Flash Programmable and Erasable Read Only Memory)的低电压、高性能CMOS 8位微处理器,俗称单片机。AT89C2051是一种带2K字节闪存可编程可擦除只读存储器的单片机。单片机的可擦除只读存储器可以反复擦除1000次。该器件

采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。 由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器。 AT89C51单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 2.2.2 串行时钟日历片DS1302 系统的组成与工作原理: 系统由单片机AT89C52,串行日历时钟片DS1302,液晶显示模组LCD1602。 DS1302的CLOCK与AT89C52的P1.6相连,RST与P1.5相连,IO与P1.7相连。 LCD1602的D0~D7与AT89C51的P0.0~P.7相连,并接上拉电阻,RS与P2.0相连,RW与P2.1相连,E与P2.2相连。 DS1302是DALLAS公司拖出的涓流充电时钟芯片,内含有一个实时时钟/日历和31个季节静态RAM,通过简单地串行接口与单片机进行通信,实时时钟/日历电路提供秒、分、时、日、日期、月、年的信息,每月的天数和闰年的天数可自动调整,时钟操作可通过AM/PM指示决定采用24小时或12小时格式,DS1302与单片机之间能简单地采用同步串行方式进行通信,仅需用到RES复位、I/O 数据线、SCLK串行时钟3个口线。对时钟、RAM的读/写,可以改用单字节方式或多达31个字节的字符组方式。DS1302工作时功耗很低,保持数据和时钟信息是功率小于1mW。DS1302广泛应用于电话传真、便携式仪器及电池供电的仪器仪表等产品领域中。 RT-1602 字符型液晶模块是以两行16个子的5*7点阵吐信来显示字符的液晶显示器。 DS1302有8个引脚: X1、X2:32.768kHz晶振介入引脚。 GND:地。 RST:复位引脚,低电平有效。 I/O:数据输入/输出引脚,具有三态功能。 SCLK:串行时钟输入引脚。 Vcc1:工作电源引脚。 Vcc2:备用电源引脚。 DS1302有一个控制寄存器,12个日历,时钟寄存器和31个RAM。 控制寄存器 控制寄存器用于存放DS1302的控制命令字,DS1302的RST引脚回到高电平后写入的第一个字就为控制命令。它用于对DS1302读写过程进行控制,它的格式如下:

电子日历单片机课程设计报告

湖南科技大学 信息与电气工程学院《单片机原理与应用课程设计报告》 题目:电子日历 专业:电子信息工程 班级: 姓名: 学号: 指导教师: 2016年 07月13日

单片机原理与应用课程设计评阅书

信息与电气工程学院 课程设计任务书 2015-2016学年第2学期 专业:电子信息工程学号:姓名: 课程设计名称: 设计题目: 完成期限:自 2016 年 7 月 4 日至 2015 年 7 月 15 日共 2 周 设计依据、要求及主要内容(可另加附页): 设计依据:STC15F2K60S2单片机的定时/计数器,74HC59芯片的串并输出,数码管显示。 实验要求: (1)、利用STC15F2K60S2单片机作为主控器组成一个电子日历和电子钟。 (2)、利用LED分别显示当前时间和日历。 (3)、利用尽可能少的开关实现:校正日历和时间 (4)、定制闹钟(时、分、表)。 主要内容: 本系统是用STC15F2K60S2单片机的T0定时器的16位自动重装来产生1ms节拍,程序运行于这个节拍下,通过计数1000次从而自动定时于1s,以实现时钟的仿真。另外通过STC15F2K60S2单片机的IO方式控制74HC595驱动8位数码管。数码管可以实时显示秒,分,小时,日期,月份和年等信息,并且实现闹铃功能时,数码管闪烁显示。矩阵式键盘采用编程扫描方式,可以实现秒,分,小时,日期,月份和年信息的校准。同时通过STC15F2K60S2单片机的外部中断INT0实现年月日与时分秒显示的切换。 指导教师(签字): 批准日期:年月日

本设计是基于51系列的单片机进行的实时日历和时钟显示设计,可以显示年月日时分秒及周信息,具有可调整日期和时间功能。在设计的同时对单片机的理论基础和外围扩展知识进行了比较全面准备。实时日历和时钟显示的设计过程在硬件与软件方面进行同步设计。硬件部分主要由STC15F2K60S2单片机,LED显示电路,以及调时按键电路等组成,系统通过74HC595驱动8位数码管现实数据,所以具有人性化的操作和直观的显示效果。软件方面主要包括时钟程序、键盘程序,显示程序等。本系统以单片机的汇编语言进行软件设计,为了便于扩展和更改,软件的设计 采用模块化结构,使程序设计的逻辑关系更加简洁明了,以便更简单地实现调整时间及日期显示功能。所有程序编写完成后,在wave软件中进行调试,确定没有问题后,在Protel99se 软件中嵌入单片机内进行仿真。 关键词:STC15F2K60S2;Protel99se;74HC595

数电课程设计数字日历电路

题目:数字日历电路 班级: 姓名: 数字日历电路

一、设计任务及要求: 1、用5个数码管分别显示月、日、星期; 2、月、日的计数器显示均从1开始,每月按30天算; 3、对星期的计数显示从1到6再到日(日用8代替)。 二、方案设计与论证: 日历是一种日常使用的出版物,用于记载日期等相关信息。每页显示一日信息的叫日历,每页显示一个月信息的叫月历,每页显示全年信息的叫年历。有多种形式,如挂历、座台历、年历卡等,如今又有电子日历。逢年过节,往往会送亲友日历已显亲情友情可日历在现代社会中是很重要的。而纸制日历对森林保护不利,因此设计电子日历意义重大。在设计日历倒计时器时,采用了模块化的思想,将日历分为三个部分:日期、月份及年份,使得设计简单、易懂。本设计能进行月、日、星期的的计数,在社会生活中具有实际的应用价值。下面就是我们组设计电子日历的主要思路: 本数字日历电路计数显示电路和控制电路组成,计数显示电路主要由同步十进制计数器74LS160构成日期、月份和星期计数器,然后通过译码器数码管显示出来控制调节电路则用了组合控制逻辑电路去控制日期计数器及月计数器的置数端和使能端,从而实现日期和月份的调节功能。星期显示在脉冲作用下,从星期一到星期日循环计数,从而形成星期随着日期循环显示。综上,该方案是具体可行的。 三、设计原理及框图: 本数字日历电路主要由五个加计数器160、五个48译码器、显示器、控制开关构成。它们的工作原理是:用两片十进制计数器74LS160同步预置数(高位置入0000,低位置入0001)构成日期计数器,使其每次从一开始计数,从日期计数器的输出三十这个信号使其同时给月计数器的CP端信号使其计数,最后给日计数器的低位以信号源使其计数,同时在脉冲的作用下,使星期循环计数,随着日期的变化而变化。74LS48译码器将信号传给显示器显示数据。

课程设计万年历的设计52503328

课程设计万年历的设计52503328

兰州理工大学 计算机与通信学院 2014年秋季学期 面向对象课程设计 题目:万年历的设计

序言 《面向对象的程序设计》是计算机专业一门重要的专业基础课。此次课程设计的目的是以面向对象程序设计语言为基础,通过完成一些具有一定难度的课程设计题目的编写、调试、运行工作,进一步掌握面向过程和面向对象程序设计的基本方法和编程技巧,巩固所学理论知识,使理论与实际相结合。从而提高自我分析问题、解决问题的能力。通过课程设计,学生在下述各方面的能力应该得到锻炼: (1)进一步巩固、加深学生所学专业课程《C++语言程序设计》的基本理论知识,理论联系实际,进一步培养学生综合分析问题、解决问题的能力。 (2)全面考核学生所掌握的基本理论知识及其实际业务能力,从而达到提高学生素质的最终目的。 (3)利用所学知识,开发小型应用系统,掌握运用C++语言编写调试应用系统程序,训练独立开发应用系统,进行数据处理的综合能力。 (4)对于给定的设计题目,如何进行分析,理清思路,并给出相应的数学模型。 (5)掌握面向对象的程序设计方法。 (6)进一步掌握在集成环境下如何调试程序、修改程序和程序的测试。

目录 摘要 (2) 第一章系统总体设计 (3) 一.理论说明 (3) 二.流程图说明 (4) 1.总体流程说明图 (4) 2.部分流程说明图 (4) 第二章系统详细设计 (7) 一.主要组成部分 (7) 二.源程序 (9) 第三章系统测试 (34) 四软件使用说明书 (40) 一.系统运行环境 (40) 二.系统操作提示 (40) 总结 (41) 参考文献 (42) 致谢 (42)

数字电路课程设计 电子日历

数字电路综合设计报告 电子日历 一、 设计要求 1.能显示年、月、日,星期; 2.年月日,星期可调; 3.不考虑闰年。 二、 题目分析 题目可概括如下:通过一个时钟信号计时,电路需要按照历法规则准确计数,并将年月日星期显示出来,此外还要求可以人工调整日期。为了实现功能,主要需搭设出一个可靠的时钟信号发生器,用于计数的计数模块,用于显示计数结果的模块。 三、 设计过程 A. 设计思路 此设计主要分为三个模块:时钟信号发生模块、时分秒计数模块、年月日计数模块。其中,时钟信号发生模块通过晶振发生一定频率的时钟信号,再通过分频,将晶振发出的信号分频成1hz 的秒脉冲信号,最后将秒脉冲信号送入。时分秒计数模块。时分秒计数模块在秒脉冲信号的控制下按规则计数,在满24小时时进位,并将进位信号送入年月日计数模块。年月日模块在时分秒模块进位信号的控制下计数,每收到一个进位信号就加一,并把每一时刻的计数结果通过数码管显示出来。各模块的关系如图一所示: B. 各 框 架 设 计 a) 时钟信号发生模块 此模块采用晶振电路产生时钟信号,再通过390、161以及D 触发器分频最后得到频率为1Hz 的秒脉冲输出信号。 基本框架如下:

仿真电路如下: b) 时分秒计数模块 在此模块中,利用390、 161构成两个六十进制和一个二十四进制计数器,分别对应秒、分、时。在时钟信号发生模块的输出信号控制下进行逐级计数, 最后将二十四进制计数器的进位信号作为输出信号。 基本框架如下:

c)年月日计数模块 此模块中利用一块161、160,分别构成七进制,二十八进制、三十进制、三十一进制、十二进制、100进制计数器。为了实现大小月功能,使用了151数据选择器,将不同触发条件作为输入数据,将12进制的触发信号作为地址输入,因此可根据“月” 的状态选择“日”的清零触发条件。为了实现年月日星期设置功能,采用四个单刀双掷开关,一边连时钟模块,一边连接按键式单脉冲。当需要设置时,将开关拨去按键式单脉冲那端,利用脉冲手动调节。 基本框架如下:

电子日历记事本--Java课程设计

《面向对象程序设计》课程设计报告 题目:电子日历记事本的设计 院(系):信息科学与工程学院 专业班级:计算机科学与技术1201班 学生姓名:程伟 学号: 20121183011 指导教师:吴奕 20 14 年 12 月 29 日至20 15 年 1 月 9 日 华中科技大学武昌分校制 面向对象程序设计课程设计任务书

目录 1需求与总体设计 1 1.1需求分析 1 1.2总体设计思路 1 1.2.1功能图 1 1.2.2类图 2 2详细设计 (3) 2.1 CalendarPad类说明 3 2.2 Year类说明 3 2.3 Month 类模块 4 2.4 NotePad类说明 4 3编码实现 6 3.1 CalendarPad模块 6

3.2 Year模块 11 3.3 Month 模块 14 3.4 NotePad模块 16 4系统运行与测试 23 4.1程序主界面 23 4.2日志查看——无日志 23 4.3建立日志 24 4.4日志查看——有日志 24 4.5删除日志 26 总结 27 1需求与总体设计 1.1需求分析 根据题目要求,将日历与记事本功能相结合,实现对某日期的事件进行记录的功能,设计出简洁方便美观的GUI界面。 将本程序主界面可以分为四个部分:日历日期信息展示、年份、月份、记事本内容、记事本下方的时钟,用四个类来实现其“日历”和“记事本”这两大功能。通过主类CalendarPad创建动日历记事本软件的主界面,且该类中含有main

方法,程序从该类开始执行。再用余下的year、mouth、NotePad类来显示并改变日期和实现记事本的功能。 1.2总体设计思路 1. 可以编辑日历的日期 2. 可以判断当前日期是否存在日志记录 3. 对有日志记录的日期,可以对该日期的日志记录进行修改和删除 4. 对没有日志记录的日期,可以创建并保存新建的日志记录 5. 对保存的日志加密,查看时得输入密码 1.2.1功能图

教学日历填写规范

华中科技大学武昌分校文件 校教[2009]53号 关于印发《华中科技大学武昌分校教学日历 填写规范》的通知 各教学单位: 经学校同意,现将《华中科技大学武昌分校教学日历填 写规范》予以印发,请遵照执行。 华中科技大学武昌分校 二〇〇九年九月二十七日 主题词:教学日历填写规范通知 华中科技大学武昌分校办公室 2009年9月27日印发

华中科技大学武昌分校课程教学日历填写规范 一、教学日历(也称“教学计划进度安排表”)是教师实施课程教案(讲稿)及组织教学内容和工作进度安排的教学文件。每一门课程都要依据课程教学大纲、所选用的教材和教学短计划的安排编写教学日历。课程教学包括理论课教学和集中性实践教学。 二、任课教师在编写教学日历时,应遵循教学规律,并在认真备课的基础上,针对具体教学对象,做到所编写的教学日历科学、合理、适用、针对性强,达到预期课程教学要求和目标。 三、教学日历的所有栏目都应该认真填写。 四、“授课日期”在填写时,应注意校历每一教学周次的具体起止日期的写法,是从每一周的周日开始至该周周六截止。 五、“教学内容”填写到“章”(或单元)、“节”、“目”所包括的具体内容,“教学时数”的填写具体到实施该教学环节所对应的学时数。 六、“教学形式”一栏中,应根据课程性质和教学大纲的内容来填写,明确各种教学形式(如:讲授、实验、上机、练习、讨论等)的具体学时数,并填入后面相应的括号内。 七、“教学方法”一栏中,应结合课程内容的实际情况,填写行之有效的教学方法,如:传统讲授法、采用多媒体教学、开展课堂讨论、利用期刊资料促进教学、运用网络课程平台进行教

学、虚拟物业企业及其主要岗位进行教学、模型制作辅助教学、充分利用校外实训基地进行教学,等等。 八、“课后作业或辅导作业”一栏中,应填写作业的主要内容和所要达到的预期教学效果。 九、教学日历填写完成后,由任课教师交课程归口专业教研室和系(部、教学基地)审核签字,审核通过后的教学日历一式三份:一份任课教师自用;一份交课程归口教研室归档;一份交学生所在系教务办公室存档。学生所在系教务办公室应于开课时印发给每个学生自然班。 十、教师应认真填写《华中科技大学武昌分校教学日历》,要求用黑色墨水笔填写,字迹工整、简明扼要。 十一、本规范自公布之日起施行,解释权归教务处。原《华中科技大学武昌分校“教学日历”填写规范》(校教[2007]44号)同时废止。 附件:华中科技大学武昌分校课程教学日历格式 华中科技大学武昌分校 二OO九年九月十八日

Java日历记事本课程设计报告

Java 日历记事本课程设计报告 在设计日历记事本时,需要编写6个JAVA源文件:、、、、和 效果图如下 . CalendarWindow 类 import .*; import .*; import .*; import .*; public class CalendarWindow extends JFrame implements ActionListener,MouseListener,FocusListener{ int year,month,day; CalendarMessage calendarMessage; CalendarPad calendarPad; NotePad notePad; JTextField showYear,showMonth; JTextField[] showDay; CalendarImage calendarImage;

String picturename; Clock clock; JButton nextYear,previousYear,nextMonth,previousMonth; JButton saveDailyRecord,deleteDailyRecord,readDailyRecord; JButton getPicture; File dir; Color backColor= ; public CalendarWindow(){ dir=new File("./dailyRecord"); (); showDay=new JTextField[42]; for(int i=0;i<;i++){ showDay[i]=new JTextField(); showDay[i].setBackground(backColor); showDay[i].setLayout(new GridLayout(3,3)); showDay[i].addMouseListener(this); showDay[i].addFocusListener(this); } calendarMessage=new CalendarMessage(); calendarPad=new CalendarPad(); notePad=new NotePad(); Calendar calendar=(); (new Date()); year=; month=+1; day=; (year); (month); (day);

单片机电子万年历课程设计报告书

单片机课程设计 姓名:吕长明 学号:04040804021 专业班级:机电四班

一、单片机原理及应用简介 随着国内超大规模集成电路的出现,微处理器及其外围芯片有了迅速的发展。集成技术 的最新发展之一是将CPU和外围芯片,如程序存储器、数据存储器、并行、串行I/O口、定时/计数器、中断控制器及其他控制部件集成在一个芯片之中,制成单片计算机(Single-Chip Microcomputer)。而近年来推出的一些高档单片机还包括有许多特殊功能单元,如A/D、D/A转换器、调制解调器、通信控制器、锁相环、DMA、浮点运算单元等。因此,只要外加一些扩展电路及必要的通道接口就可以构成各种计算机应用系统,如工 业控制系统、数据采集系统、自动测试系统、万年历电子表等。 二、系统硬件设计 8052 是标准的40引脚双列直插式集成电路芯片,引脚分布请参照----单片机引脚图图1: 图1 8052引脚 P0.0~P0.7 P0口8位双向口线(在引脚的39~32号端子)。 P1.0~P1.7 P1口8位双向口线(在引脚的1~8号端子)。 P2.0~P2.7 P2口8位双向口线(在引脚的21~28号端子)。 P3.0~P3.7 P2口8位双向口线(在引脚的10~17号端子)。 8052芯片管脚说明: VCC:供电电压。 GND:接地。P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用

于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH 编程和校验时,P1口作为第八位地址接收。 P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。 P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。 P3口也可作为AT89C51的一些特殊功能口,如表1所示: 表1 特殊功能口 P3口同时为闪烁编程和编程校验接收一些控制信号。 RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。

单片机课程设计 电子日历时钟显示器设计

目录 1.题目设计要求 (1) 2.开发平台简介 (1) 3.系统硬件设计 (2) 3.1设计原理 (2) 3.2器件的功能与作用 (2) 3.2.1 MCS51单片机AT89C51 (2) 3.2.2复位电路 (3) 3.2.3晶振电路 (4) 3.2.4 DS1302时钟模块 (4) 3.2.5 引脚功能及结构 (4) 3.2.6 DS1302的控制字节 (5) 3.2.7 数据输入输出(I/O) (5) 3.2.8 DS1302的寄存器 (6) 3.2.9 液晶显示LCD1602 (6) 3.2.10 串行时钟日历片DS1302 (8) 4.系统软件设计 (10) 4.1程序流程 (10) 4.2程序代码 (10) 5.系统仿真调试 (20) 5.1仿真原理图设计 (20) 5.2仿真运行过程 (21) 5.3仿真运行结果 (21) 6.总结 (21) 7.参考文献 (22)

1.题目设计要求 通过串行日历时钟芯片DS1302生成当前日期和是时间,通过IO口传输到AT89c52芯片中,然后再将AT89c52接收到的数据输出到LCD上。要求LCD上显示的日期和时间与当前系统时间保持一致。 2.开发平台简介 2.1系统仿真平台Proteus Proteus软件是由英国Labcenter Electronics公司开发的EDA工具软件,已有近20年的历史,在全球得到了广泛应用。Proteus软件的功能强大,它集电路设计、制版及仿真等多种功能于一身,不仅能够对电工、电子技术学科涉及的电路进行设计,还能够对微处理器进行设计和仿真,并且功能齐全,界面多彩。和我们手头其他的电路设计仿真软件,他最大的不同即它的功能不是单一的。另外,它独特的单片机仿真功能是任何其他仿真软件都不具备的。 2.2软件开发平台Keil C Keil C51是美国Keil Software公司出品的51系列兼容单片机C语言软件开发系统,与汇编相比,C语言在功能上、结构性、可读性、可维护性上有明显的优势,因而易学易用。Keil提供了包括C编译器、宏汇编、连接器、库管理和一个功能强大的仿真调试器等在内的完整开发方案,通过一个集成开发环境(uVision)将这些部分组合在一起。Keil C51生成的目标代码效率之高,多数语句生成的汇编代码很紧凑,容易理解。在开发大型软件时更能体现高级语言的优势。

java课程设计_简单日历程序

课程设计题目 2. 题目说明 通过编写一个基于JAVA的应用系统综合实例,自定义一个日历组件显示日期和时间并进行适当的功能扩充,实践Java语言编程技术。 3. 系统设计 2.1 设计目标 一个完整的程序应具有以下功能: 1)显示当月日历、当前日期、当前时间; 2)可查寻任意月以及任意年的日历; 3)使用图形化界面能够弹出对话框; 5)正常退出程序。 2.2 设计思想 设计一个类用来构成日历系统的主窗口,然后编写一个框架类显示时间和提示信息。在设计中应用了多种容器和控件。 2.3 系统模块划分 图1:简易日历的程序结构图

2.3.1初始化: public void init()完成界面初始化,形成一个以挂历形式显示当前日期的窗口。 2.3.2 日历描述: (1)public void updateView()改变日期后完成更新界面; (2)抽象类java.util.Calendar获取系统日期并传递日期数据而且在人工改变日期后得出当天是周几; (3)public static void main(String[] args) 主函数完成系统各算法的调用并对主窗口的一些属性进行设置; 2.3.3 滚动时间: 将时间以文本的形式在文本框中滚动播出,并能改变滚动的速度。 4. 使用类及接口 仅仅简单说明类的功能,详细资料请参看《JavaTM 2 Platform Standard Ed. 6》的电子文档,常规的接口与包则省略不屑。 //以下是日历程序块中使用的类 package fancy; import java.awt.*; import java.awt.event.*; import java.util.*; //主要用此包中的日期和时间类 import javax.swing.*; import javax.swing.event.*; import javax.swing.table.*; //以下是对滚动时间程序块所使用的类和接口, 用到定时器类Timer

课程设计-电子日历表

课程设计-电子日历表

————————————————————————————————作者:————————————————————————————————日期:

数字电子技术课程设计 河南城建学院自动化专业 题目:电子日历表 姓名:郑文杰 学号:092411257 指导教师:周炎 时间:2013年6月24日~2013年6月27日

指导教师评语:成绩:

摘要 本设计是一个将“年”、“月”、“日”显示出来的电子日历。数字电路具有理解简单、可靠性高、成本低等优点。所以本设计就是以数字电路为核心的时间显示装置。主要由由脉冲源,计数电路,反馈电路,门电路和显示电路构成。 由于此次设计年、月、日均为循环计数,故采用计数器实现循环计数及进位,日计数器有四个不同进制的计数器组成,月计数器输出的脉冲经过门电路来控制各计数器的使能端使被选中的日计数器工作。其中二月份的天数比较特别,在平年和闰年中的天数不同,所以让年计数器的输出脉冲与二月份信号一起控制对二月份天数的选择。最后用七段式译码显示器显示出年、月、日。同时引进电子校对电路,使得显示结果出错率大大降低。 在这次设计中我和搭档首先分析了一下要完成本次设计需要哪些功能而完成这些功能的元件又是哪些,然后再通过查找资料设计出大概方案。在对整个模块进行分析和画出电路总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求、达到预期设计效果。 关键词:电子日历、计数器、循环 目录

1 概述 (5) 1.1 设计目的 (5) 1.2 设计要求 (5) 1.3 设计任务 (5) 1.4 设计原理 (5) 2 设计方案及其比较 (6) 2.1 方案比较 (6) 2.2 设计电路的总体结构 (6) 2.3 设计所用元件 (7) 3 各部分电路设计 (8) 2.1 日计数器 (8) 2.2 月计数器 (9) 2.3 年显示电路 (10) 2.4 反馈电路 (11) 4软件仿真整体电路 (12) 5课程设计体会 (13) 6参考文献 (14) 1 概述

Java日历记事本课程设计报告

Java日历记事本课程设计报告 在设计日历记事本时,需要编写6个JA V A源文件:CalendarWindow.java、CalendarPad.java、NotePad.java、CalendarImage.java、Clock.java和CalendarMesssage.java 效果图如下 . CalendarWindow类 import javax.swing.*; import java.awt.*; import java.awt.event.*; import java.util.*; import java.io.*; public class CalendarWindow extends JFrame implements ActionListener,MouseListener,FocusListener{ int year,month,day;

CalendarMessage calendarMessage; CalendarPad calendarPad; NotePad notePad; JTextField showYear,showMonth; JTextField[] showDay; CalendarImage calendarImage; String picturename; Clock clock; JButton nextYear,previousYear,nextMonth,previousMonth; JButton saveDailyRecord,deleteDailyRecord,readDailyRecord; JButton getPicture; File dir; Color backColor=Color.white ; public CalendarWindow(){ dir=new File("./dailyRecord"); dir.mkdir(); showDay=new JTextField[42]; for(int i=0;i

石河子大学课程教学日历

石河子大学课程教学日历 2014~2015学年第二学期 课程名称:机械原理课程设计开课单位:机械电气工程学院上课专业班级:电气2014(1-2) 总学时:讲授:实验:上机:其它: 主讲教师:职称: 填报人(签字):教研室(系/部)主任(签字):填写日期:年月日

石河子大学教案编写说明 教案是教师从事教学活动的基础,是教师在研究教材、了解学生、设计教学法等前期工作的基础上,经过周密策划而编制的关于课程教学活动的具体实施方案。是授课思路、教学内容、教学技能的客观反映。教案既不同于教学大纲,也不等同于讲稿。根据近期学校开展的优秀教案评比活动存在的问题,现将教案编写的基本格式与要求规范说明如下: 一、基本格式 一份完整的教案应包括教案的封面、课程教学目标、各章节课时分配表和分课时教案的内容。 1、教案封面 主要包括课程名称、授课班级、任课教师、教师所属学院。 2、课程教学目标和各章节课时分配表 主要包括课程教学目的、本课程使用教材和主要参考书,各章次学时分配。 课程教学目的:是具有导向性的学科阶段目标,是对教学所要达到的程度的一般的、基本的规定和要求。是学生通过整个课程的学习,预期达到的效果。 3、分教案的内容 主要包括每章节次名称、教学目的、基本教学内容以及课时分配、重点、难点、教学方法和教学手段、教具、作业、思考题和课后记,等。 (1)课堂教学目的:是教师依据课程大纲、课程资源(如教材)和学生的具体情况而设计的在一章节次课内要达到的具体教学目标。 (2)教学内容及时间分配:列出各节主要教学内容及学时分配、教学进程,教学步骤的时间分配。是通过对教学大纲、教材和主要参考资料的研析,确定课程教学或课堂教学知识信息的总和,是教案的主体部分。 (3)重点、难点及其对策:本次课程讲授的重点、难点及解决的对策。教学重点指教学大纲的重点内容或本次课要解决的关键性问题。作为重点的教学目标不管难易都要求学生掌握;难点是教学目标达成过程中,学生容易产生困难的知识点。 (4)教学方法和手段:是教师根据教学目标所采用的教学方式(讲授、

数电课设电子日历

电子课程设计 ——电子日历 学院:电子信息工程学院 专业、班级: 姓名: 学号: 指导教师:任青莲 2014年12月

目录 一、设计任务与要求 (4) 1.1、设计任务 (4) 1.2、设计要求 (4) 二、系统设计 (4) 2.1、总体框图 (4) 2.2、系统方案的设计和选择 (5) 2.2.1、方案一 (5) 2.2.2、方案二 (5) 2.2.3、应用方案的具体阐述 (5) 三、选择器件 (6) 3.1、元器件清单如表1 (6) 3.2、元器件简介 (7) 3.2.1、74LS192 (7) 3.2.2、74LS160 (8) 3.2.3、逻辑门 (9) 3.2.4、数码管 (11) 四、功能模块 (11) 4.1、脉冲模块 (11) 4.1.1、CP端脉冲(1KHZ) (11) 4.1.2、计秒脉冲 (12) 2

4.2、复位电路 (14) 4.3、日计数器和星期计数器 (15) 4.4、月计数器 (16) 4.5、年计数器 (16) 五、总体电路图 (17) 5.1、仿真图 (17) 5.2、硬件电路图 (18) 六、实验困难及解决措施 (20) 6.1、逻辑门的延时问题 (20) 6.2、实现手动校正功能。 (20) 七、心得与总结 (20) 3

电子日历 一、设计任务与要求 1.1、设计任务 (1)能够显示一百年内的年、月、日、星期。 (2)例如“13、12、25 7”,星期天显示数字“7”。 (3)具有手动校正年、月、日、星期的功能。 (4)不考虑闰年。 (5)可以手动复位。 1.2、设计要求 (1)熟悉集成电路的引脚安排。 (2)掌握芯片的逻辑功能及使用方法。 (3)了解电子日历的组成及工作原理。 (4)熟悉电子日历的设计与制作。 (5)熟悉multisim电子电路设计及仿真软件的应用。 二、系统设计 2.1、总体框图 由于年、月、日、星期均为循环计数,故采用计数器实现循环计数及进位。星期采用一位数码管显示的7进制(1至7);日计数器由两位数码管组 成的31进制(0至31)计数器;月计数器为两位数码管显示的12进制(1 至12);年由两位数码管显示的100进制(0至99)计数器,如果发生错误 可通过校正电路手动校正。星期和日计数器采用共同脉冲,两者互不影响,日计数器满31向前进位,自身自动置为01,同时月计数器加1,月计数器 满12向前进位,自身置为01,同时年计数器加1,年计数器满99自动清零。 所有电路均可以统一进行复位。 总体框图如图1所示。 4

相关文档