文档库 最新最全的文档下载
当前位置:文档库 › 数控分频器实验报告

数控分频器实验报告

数控分频器实验报告
数控分频器实验报告

《数控分频实验》

姓名:谭国榕班级:12电子卓越班学号:201241301132

一、实验目的

1.熟练编程VHDL语言程序。

2.设计一个数控分频器。

二、实验原理

本次实验我是采用书上的5分频电路进行修改,通过观察其5分频的规律进而修改成任意奇数分频,再在任意奇数分频的基础上修改为任意偶数分频,本次实验我分为了三个部分,前两部分就是前面所说的任意奇数分频和任意偶数分频,在这个基础上,再用奇数输入的最低位为1,偶数最低位为0的原理实现合并。

三、实验步骤

1.任意奇数分频

程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

ENTITY DIV1 IS

PORT(CLK:IN STD_LOGIC;

D:IN INTEGER RANGE 0 TO 255;

K1,K2,K_OR:OUT STD_LOGIC

);

END;

ARCHITECTURE BHV OF DIV1 IS

SIGNAL TEMP3,TEMP4:STD_LOGIC_VECTOR(7 DOWNTO 0);

SIGNAL M1,M2:STD_LOGIC;

--SIGNAL OUT1,OUT2,OUT3:STD_LOGIC;

BEGIN

PROCESS(CLK,TEMP3) BEGIN

IF RISING_EDGE(CLK) THEN

IF(TEMP3=D-1) THEN TEMP3<="00000000"; ELSE TEMP3<=TEMP3+1; END IF;

IF(TEMP3=D-(D+3)/2) THEN M1<=NOT M1; ELSIF (TEMP3=D-2) THEN M1<=NOT M1; END IF; END IF;

END PROCESS;

PROCESS(CLK,TEMP4) BEGIN

IF FALLING_EDGE(CLK) THEN

IF(TEMP4=D-1) THEN TEMP4<="00000000"; ELSE TEMP4<=TEMP4+1; END IF;

IF(TEMP4=D-(D+3)/2) THEN M2<=NOT M2; ELSIF (TEMP4=D-2) THEN M2<=NOT M2;

END IF; END IF;

END PROCESS;

K1<=M1; K2<=M2; K_OR <=M1 OR M2;

END BHV;

此段程序最主要的部分为:

PROCESS(CLK,TEMP3) BEGIN

IF RISING_EDGE(CLK) THEN

IF(TEMP3=D-1) THEN TEMP3<="00000000"; ELSE TEMP3<=TEMP3+1; END IF;

IF(TEMP3=D-(D+3)/2) THEN M1<=NOT M1; ELSIF (TEMP3=D-2) THEN M1<=NOT M1; END IF; END IF;

END PROCESS;

PROCESS(CLK,TEMP4) BEGIN

IF FALLING_EDGE(CLK) THEN

IF(TEMP4=D-1) THEN TEMP4<="00000000"; ELSE TEMP4<=TEMP4+1; END IF;

IF(TEMP4=D-(D+3)/2) THEN M2<=NOT M2; ELSIF (TEMP4=D-2) THEN M2<=NOT M2; END IF; END IF;

END PROCESS;

在这里,我通过研究书上的占空比为50%的5分频电路的程序,通过实验发现了一个规律,就是书上的C1="100",在奇数任意分频中为输入信号减一,即D-1,而在第二个if里,5分频为C1="001",7分频为C1="010",9分频为C1="011",以此类推,则不难发现:

5-4=1;7-5=2;9-6=3.。。。即为程序中的TEMP4=D-(D+3)/2;而ELSIF里的C2="011"则为我程序中的TEMP4=D-2。通过这样的修改就可以实现任意奇数分频。

程序仿真:

3分频:

5分频:

7分频:

2.任意偶数分频

程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

ENTITY DIV2 IS

PORT(CLK:IN STD_LOGIC;

D:IN INTEGER RANGE 0 TO 255;

K1:OUT STD_LOGIC

);

END;

ARCHITECTURE BHV OF DIV2 IS

SIGNAL TEMP3,TEMP4:STD_LOGIC_VECTOR(7 DOWNTO 0);

SIGNAL M1:STD_LOGIC;

--SIGNAL OUT1,OUT2,OUT3:STD_LOGIC;

BEGIN

PROCESS(CLK,TEMP3) BEGIN

IF FALLING_EDGE(CLK) THEN

IF(TEMP3=D-1) THEN TEMP3<="00000000"; ELSE TEMP3<=TEMP3+1; END IF;

IF(TEMP3=D-(D+2)/2) THEN M1<=NOT M1; ELSIF (TEMP3=D-1) THEN M1<=NOT M1; END IF; END IF;

END PROCESS;

K1<=M1;

END BHV;

此段程序比任意奇数分频简单,原因是偶数分频所用到的周期是整数,例如二分频那么就要用到4个周期,占空比为50%则为高电平两个周期,低电平两个周期,而任意奇数分频则需要半个周期的高电平或低电平,故需要两个信号相或。任意偶数分频的原理与任意奇数分频的原理相似,同样是我在书上的程序上修改而来,其中最重要的是发现其变化规律。

程序仿真:

2分频:

4分频:

8分频:

3.数控分频

程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

ENTITY DIV IS

PORT(CLK_IN:IN STD_LOGIC;

D:IN INTEGER RANGE 0 TO 255;

CLK_OUT:OUT STD_LOGIC

);

END;

ARCHITECTURE BHV OF DIV IS

SIGNAL C1,C2,C3,D1:STD_LOGIC_VECTOR(7 DOWNTO 0);

SIGNAL M1,M2,M3:STD_LOGIC;

BEGIN

PROCESS(CLK_IN,C1) BEGIN

D1<=CONV_STD_LOGIC_VECTOR(D,8);

IF D1(0)='1' THEN

IF RISING_EDGE(CLK_IN) THEN

IF(C1=D-1) THEN C1<="00000000"; ELSE C1<=C1+1; END IF;

IF(C1=D-(D+3)/2) THEN M1<=NOT M1; ELSIF (C1=D-2) THEN M1<=NOT M1; END IF; END IF;END IF;

END PROCESS;

PROCESS(CLK_IN,C2) BEGIN

D1<=CONV_STD_LOGIC_VECTOR(D,8);

IF D1(0)='1' THEN

IF FALLING_EDGE(CLK_IN) THEN

IF(C2=D-1) THEN C2<="00000000"; ELSE C2<=C2+1; END IF;

IF(C2=D-(D+3)/2) THEN M2<=NOT M2; ELSIF (C2=D-2) THEN M2<=NOT M2; END IF; END IF;END IF;

END PROCESS;

PROCESS(CLK_IN,C3) BEGIN

D1<=CONV_STD_LOGIC_VECTOR(D,8);

IF D1(0)='0' THEN

IF FALLING_EDGE(CLK_IN) THEN

IF(C3=D-1) THEN C3<="00000000"; ELSE C3<=C3+1; END IF;

IF(C3=D-(D+2)/2) THEN M3<=NOT M3; ELSIF (C3=D-1) THEN M3<=NOT M3;

END IF; END IF; END IF;

END PROCESS;

CLK_OUT <=M1 OR M2 OR M3;

END BHV;

此程序原理在1、2已经说过了,这里主要用到的就是if的奇偶判断语句:

D1<=CONV_STD_LOGIC_VECTOR(D,8);

IF D1(0)='1' THEN

此为奇数判断;

D1<=CONV_STD_LOGIC_VECTOR(D,8);

IF D1(0)='0' THEN

此为偶数判断。

从而实现了数控分频。

程序仿真:

2分频:

3分频:

4分频:

四、实验心得

此次实验我并没有按照老师的要求,在上课之前先把程序做好,对此我深感抱歉,没有在课前写好程序是我认为自己能够在几个小时内完成,其实不然,是自己太高估了自己,没有放很多时间在EDA这门课程上,可是通过这次的实验,我深刻意识到,其实自己再也普通不过,在上课之前的一天晚上,我从10点开始编写这个数控分频程序,然而我却发现了难度,想法我是有的,可是却编写不出来。刚刚开始我是想通过时钟上下沿跳变计数来实现数控分频,因为这样能够简单的实现,可是在编程上却遇到了难度,因为对VHDL的语法规则的不熟悉,我一直熬夜到了半夜两点还是一点也没写出来,只好上床睡觉了,第二天中午还想了一中午,通过两个进程来实现计数,对同一个信号进行两个进程的奇数,一个是上升沿,一个是下降沿,再次碰壁。时间过得飞快,到了上课时间,我还是毫无收获,当老师问起我的作业时,我顿时哑口无言,深感惭愧。那三节课的时间我还是编不出任何东西,但是也熟悉了许多语法规则,例如signal要在进程外定义,begin要在定义变量之前,process之后等等小问题,曾经想过抄袭同学的回去再好好领悟,可是我放弃了,因为我知道这样做我就更加没有收获,而且也对不起自己,所以在课后我也不断的尝试去编写,刚刚开始还是不断受挫,

不知道从何写起,后来和同学讨论了一下,发现还有奇偶判断的方法,于是灵机一动,只要把任意奇数分频和任意偶数分频写出来合并在一起就可以实现数控分频了。于是我开始研究书上的5次分频程序,并且发现了任意奇偶分频的函数规律,最终终于把程序编好了。故余虽愚,卒或有所闻。通过这次的实验,我深知在EDA这个领域的编程的困难性,所以我愿意花更多的时间去学习,老师所布置的实验再也不会不提前做好程序了。

哈工大FPGA设计与应用分频器设计实验报告

FPGA设计与应用 分频器设计实验报告 班级:1105103班 姓名:郭诚 学号:1110510304 日期:2014年10月11日

实验性质:验证性实验类型:必做开课单位:电信院学时:2学时 一、实验目的 1、了解Quartus II软件的功能; 2、掌握Quartus II的HDL输入方法; 3、掌握Quartus II编译、综合、适配和时序仿真; 4、掌握Quartus II管脚分配、数据流下载方法; 5、了解设计的资源消耗情况; 6、掌握分频器和计数器的实现原理; 7、掌握数码管的静态和动态显示原理 二、实验准备(1分) 2.1 EP2C8的系统资源概述 逻辑单元8,256 M4K RAM 块(4k比特+512校验比特) 36 总的RAM比特数165,888 嵌入式乘法器18 锁相环PLLs 2

2.2 工程所用到的FPGA引脚及功能说明 工程所用到的FPGA引脚及功能说明: PIN_23是时钟引脚; PIN_114 PIN_117 PIN_127 PIN_134是数码管的位选引脚; PIN_133 PIN_116 PIN_110 PIN_112 PIN_128 PIN_118 PIN_115是数码管段选信号引脚;实验所用的LED是共阴级连接 2.3 数码管的动态显示原理 动态显示是将所有数码管的8个显示笔划"a,b,c,d,e,f,g,dp"的同名端连在一起,另外为每个数码管的公共极COM增加位选通控制电路,位选通由各自独立的I/O 线控制,当输出字形码时,所有数码管都接收到相同的字形码,但究竟是那个数码管会显示出字形,取决于FPGA对位选通COM端电路的控制,所以只要将需要显示的数码管的选通控制打开,该位就显示出字形,没有选通的数码管就不会亮。通过分时轮流控制各个数码管的的COM端,就使各个数码管轮流受控显示,这就是动态驱动。在轮流显示过程中,每位数码管的点亮时间为1~2ms,由于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上各位数码管并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感。

数控铣床加工实验报告

(一)实验目的 1、了解数控铣床组成及其工作原理。 2、了解零件数控加工的手工编程和自动编程方法。 3、掌握用数控铣床加工零件的工艺过程。 (二)实验内容及安排 1)实验前仔细阅读本实验指示书的内容。 2)教师讲解数控铣床的组成及其工作原理,演示数控铣床操作过程。 3)学生进行程序传输和机床操作,完成零件加工。 (三)实验设备 1)数控铣床。 2)由10台计算机组成的局域网。 3)与机床通讯用计算机5台。 (四)数控铣床的组成 数控铣床的基本组成见图1,它由床身、立柱、主轴箱、工作台、滑鞍、滚珠丝 杠、伺服电机、伺服装置、数控系统等组成。 床身用于支撑和连接机床各部件。主轴箱用于安装主轴。主轴下端的锥孔用于安装铣刀。当主轴箱内的主轴电机驱动主轴旋转时,铣刀能够切削工件。主轴箱还可沿立柱上的导轨在Z向移动,使刀具上升或下降。工作台用于安装工件或夹具。工作台可沿滑鞍上的导轨在X向移动,滑鞍可沿床身上的导轨在Y向移动,从而实现工件在X和Y向的移动。无论是X、Y向,还是Z向的移动都是靠伺服电机驱动滚珠丝杠来实现。伺服装置用于驱动伺服电机。控制器用于输入零件加工程序和控制机床工作状态。控制电源用于向伺服装置和控制器供电。 (五)数控铣床加工说明 1.机床手动操作及手轮操作 (1)手动:选择手动功能键(FANUC系统为功能旋钮“手动”档)(见附图), 然后按动方向按键+X +Y +Z –X –Y –Z,使机床刀具相对于工作台向坐标轴某一 个方向运动。 (2)手轮:选择手轮(单步)功能键(FANUC系统为功能旋钮“手轮”档)(见 附图),然后选择运动方向,KND系统为X Y Z方向按键,FANUC系统为方向旋钮。 2.回零操作 (1)零前准备:用手轮方式将工作台,尤其是刀轴移动至中间部位。(Z向行 程较小,只有100mm,多加注意) (2)零操作:选择回零按键,(FANUC系统为功能旋钮指向回零)。点动+X+Y+Z 按键(FANUC系统为按住+X +Y +Z按键),等待系统自动回零。 3.程序传输 FANUC系统: ①功能旋钮指向“编辑”功能,点击“PROG”按键; ②依次选择屏幕下方“操作”、“READ”、“EXEC”软键,等待程序输入;

VHDL非整数分频器设计实验报告

非整数分频器设计 一、 输入文件 输入时钟CLK: IN STD_LOGIC 二、 设计思路 1. 方法一:分频比交错 (1) 确定K 值 先根据学号S N 确定M 和N :为了保证同学们的学号都不相同,取学号的后四位,即N S =1763 ()mod 1920(mod 17)0 17mod 17 S S S N N if N then M else M N =+=== 由以上公式,得N=(1763 mod 19)+20=35 M=(1763 mod 17)=12 然后根据下式计算分频比K 的值: 8()9N M M K N -+= = =8.34285714 (2) 确定交错规律 使在35分频的一个循环内,进行12次9分频和23次8分频,这样,输出F_OUT 平均为F_IN 的8.34285714分频。为使分频输出信号的占空比尽可能均匀,8分频和9分频应‘交替’进 (3) 设计框图:要求同步时序设计

(4)代码 在实体内定义两个进程(PROCESS P1和PROCESS P2),一个进程控制输出8/9分频,一个进程控制35分频周期比例输出。控制器输出FS_CTL信号控制输出是8分频还是9分频,分频器输出C_ENB信号来控制35分频计数器计数。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY DIV IS--定义实体,实体名DIV PORT(F_IN: IN STD_LOGIC;--输入时钟信号 F_OUT: OUT STD_LOGIC--输出时钟信号 ); END DIV; ARCHITECTURE A OF DIV IS SIGNAL CN1: INTEGER RANGE 0 TO 7;--8分频计数器 SIGNAL CN2: INTEGER RANGE 0 TO 8;--9分频计数器 SIGNAL CN: INTEGER RANGE 0 TO 34;--整体计数器 SIGNAL C_ENB: STD_LOGIC;--整体计数器时钟驱动信号 SIGNAL FS_CTL: STD_LOGIC;--控制8、9分频比例信号,高电平8分频,低电平9分频 BEGIN P1:PROCESS(F_IN)--8、9分频计数进程 BEGIN IF (F_IN'EVENT AND F_IN='1') THEN IF(FS_CTL='0') THEN--9分频 IF CN2=8 THEN--计数 CN2<=0; ELSE CN2<=CN2+1; END IF; IF CN2>4 THEN--控制输出,占空比0.5 F_OUT<='1'; ELSE F_OUT<='0'; END IF; IF CN2=8 THEN--控制整体计数器驱动信号 C_ENB<='1'; ELSE C_ENB<='0'; END IF; ELSE IF CN1=7 THEN--8分频计数,同上 CN1<=0; ELSE CN1<=CN1+1; END IF; IF CN1>3 THEN F_OUT<='1'; ELSE F_OUT<='0'; END IF; IF CN1=7 THEN C_ENB<='1'; ELSE C_ENB<='0'; END IF; END IF; END IF; END PROCESS P1; P2:PROCESS(C_ENB)--整体计数进程 BEGIN IF (C_ENB'EVENT AND C_ENB='1') THEN--由驱动信号驱动 IF CN=34 THEN--计数 CN<=0; ELSE CN<=CN+1; END IF; IF (CN=34 OR CN=2 OR CN=5 OR CN=8 OR CN=11 OR CN=14 OR CN=17 OR CN=20 OR CN=23 OR CN=26 OR CN=29 OR CN=32) THEN FS_CTL<='0'; ELSE FS_CTL<='1'; END IF;--8、9分频比例分配 ELSE CN<=CN; END IF; END PROCESS P2; END A;

分频器设计实验报告

竭诚为您提供优质文档/双击可除分频器设计实验报告 篇一:n分频器分析与设计 一、实验目的 掌握74190/74191计数器的功能,设计可编程计数器和n分频器,设计(n-1/2)计数器、分频器。 二、实验原理 分频是对输入信号频率分频。1、cD4017逻辑功能 2、74190/74191逻辑功能 3、集成计数器级联 当所需计数器模数超过所选计数器最大计数状态时,需要采取多片计数器级联。方法分为异步级联和同步级联。4、集成计数器的编程 在集成计数器的时序基础上,外加逻辑门电路等,反馈集成计数器的附加功能端,达到改变计数器时序的目的。可采用复位编程和置数编程两种。5、多片74190/74191计数器级联 可根据具体计数需求和增减需求,选用74190或74191,

选择不同功能、同步或异步设计等。 6、74190/74191计数器编程 由于没有复位端,因此只能使用置数编程,置数端置为0即可异步置数。可根据需求设计n进制加法或减法计数器。 n与译码逻辑功能如下。 7、74191组成(n-1/2)分频器电路如下图: u3 计数器的两个循环中,一个循环在cp的上升沿翻转;另一个是在cp的下降沿翻转,使计数器的进制减少1/2,达到(n-1/2)分频。 三、实验仪器 1、直流稳压电源1台 2、信号发生器1台 3、数字万用表1台 4、实验箱1台 5、示波器1台 四、仿真过程 1、按照cD4017和74191功能表验证其功能。 2、74191组成可编程计数器 (1)构成8421bcD十进制加法计数器,通过实验验证正确性,列出时序表。设计图如下 仿真波形如下 (2)构成8421bcD十进制减法计数器,通过实验验证正确性,列出时序表。设计图如下: 仿真波形如下

分频器实验报告

分频器实验报告 分频器实验报告 start simulation直至出现 simulation as suessful,仿真结束。观察仿真结果。 时序仿真 图 : 六.实验过程所出现的问题及其解决 通过本次实验,初步掌握了语言的初步设计,收获颇多。但在实验过 程中也遇到了许多的问题,通过自己的独立思考和老师同学的相互讨 论对这个实验有了进一步的了解和认识。在最初建立工程的地方出现 了不少问题,因为没有详细阅读教材,导致无法成功建立工程运行程 序,最后认真熟读教材后,解决了问题。通过对错误的分析和解决, 让自己更好的掌握这一软件的基础操作,为下一次试验打下了见识的 基础。篇五: 八位十进制分频器实验报告 重庆交通大学信息科学与工程学院综合性实 验报告 姓名: 赵娅琳学号 10950214 班级:

通信工程专业201X级 2班 实验项目名称: 8位16进制频率及设计 实验项目性质: 设计性 实验所属课程: 数字设计基础 实验室 BEGIN IF CLKK'EVENT AND CLKK='1' THEN --1Hz时钟2分频 Div2CLK <= NOT Div2CLK; END IF; END PROCESS; PROCESS BEGIN IF CLKK='0' AND Div2CLK='0' THEN RST_CNT<='1'; --产生计数器清零信号 ELSE RST_CNT <='0'; END IF; END PROCESS; Load <= NOT Div2CLK; CNT_EN <= Div2CLK; END behav; 3、十进制计数模块

数控机床-实验报告模板

成绩: 数控机床与编程实验报告 课程数控机床与编程 专业机械设计制造及其自动化 学号2500100408 姓名何益群 指导教师曾文健 机械与电子信息工程学部 2013年11月21日

一、实验目的 1、熟悉数控机床的典型结构组成和工作原理。掌握手工编程的步骤; 2、掌握数控加工仿真系统的操作流程。 二、实验内容 1、观看机械零件的数控加工生产现场; 2、演示手工编程的操作步骤; 3、演示FANUC系统的数控加工操作流程。 三、实验设备 在工厂员工的带领下,我们观看的数控设备有: 华中数控系统的数控车床; 30系统的数控铣床; FUNAC系统的数控床; 华中数控的镗床: 沈阳机床厂的数控加工中心; 各种普通的车床、铣床,龙门刨床。 四、数控工艺分析 1、零件工艺分析 (1)零件图上尺寸数据的给出,应符合程序编制方便的原则。 1)、零件图上尺寸标注方法应适应数控加工的特点在数控加工零件图上,应以同一基准引注尺寸或直接给出坐标尺寸。 2)、构成零件轮廓的几何元素的条件应充分,便于在手工编程时计算基点或节点坐标。(2)零件各加工部位的结构工艺性应符合数控加工的特点。 1)、零件的内腔和外形最好采用统一的几何类型和尺寸。这样可以减少刀具规格和换刀次数,使编程方便,生产效益提高。 2)、内槽圆角的大小决定着刀具直径的大小,因而内槽圆角半径不应过小。零件工艺性的好坏与被加工轮廓的高低、转接圆弧半径的大小等有关。 3)、零件铣削底平面时,槽底圆角半径r不应过大。 4)、应采用统一的基准定位。在数控加工中,若没有统一基准定位,会因工件的重新安装而导致加工后的两个面上轮廓位置及尺寸不协调现象。因此要避免上述问题的产生,保

EDA 实验2简单分频时序逻辑电路设计 实验报告

时序电路设计 实验目的: 1.掌握条件语句在简单时序模块设计中的使用。 2.学习在Verilog模块中应用计数器。 实验环境: Windows 7、MAX+PlusⅡ10等。 实验内容: 1.模为60的8421BCD码加法计数器的文本设计及仿真。 2.BCD码—七段数码管显示译码器的文本设计及仿真。 3.用For语句设计和仿真七人投票表决器。 4.1/20分频器的文本设计及仿真。 实验过程: 一、模为60的8421BCD码加法计数器的文本设计及仿真: (1)新建文本:选择菜单File下的New,出现如图5.1所示的对话框,在框中选中“Text Editor file”,按“OK”按钮,即选中了文本编辑方式。 图5.1 新建文本 (2)另存为V erilog编辑文件,命名为“count60.v”如图5.2所示。 (3)在编辑窗口中输入程序,如图5.3所示。

图5.2 另存为.V编辑文件图5.4 设置当前仿真的文本设计 图5.3 模为60的8421BCD码加法计数器的设计代码

(4)设置当前文本:在MAX+PLUS II中,在编译一个项目前,必须确定一个设计文件作为当前项目。按下列步骤确定项目名:在File菜单中选择Project 中的Name选项,将出现Project Name 对话框:在Files 框内,选择当前的设计文件。选择“OK”。如图5.4所示。 (5)打开编译器窗口:在MAX—plusⅡ菜单内选择Compiler 项,即出现如图5.5的编译器窗口。 图5.5 编译器窗口 选择Start即可开始编译,MAX+PLUS II编译器将检查项目是否有错,并对项目进行逻辑综合,然后配置到一个Altera 器件中,同时将产生报告文件、编程文件和用于时间仿真用的输出文件。 (6)建立波形编辑文件:选择菜单File下的New选项,在出现的New对话框中选择“Waveform Editor File”,单击OK后将出现波形编辑器子窗口。 (7)仿真节点插入:选择菜单Node下的Enter Nodes from SNF选项,出现如图5.6所示的选择信号结点对话框。按右上侧的“List”按钮,在左边的列表框选择需要的信号结点,然后按中间的“=>”按钮,单击“OK”,选中的信号将出现在波形编辑器中。 图5.6 仿真节点插入

基于VHDL数控分频器设计

目录 一、设计任务与要求 (3) 二、总体框图 (3) 三、选择器件 (4) 四、功能 (5) 1、数控分频器 (5) 2、BCD译码器 (6) 3、扫描器 (11) 4、3-8译码器 (13) 5、7段数码管显示译码器 (16) 五、总体设计电路图 (19) 1总体(顶层)电路原理图 (19) 2仿真结果 (19) 3管脚分配图 (20) 4.硬件连接情况 (20) 六.心得体会 (20)

数控分频器设计 一、设计任务与要求 数控分频器的功能就是当输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,以实现所需的输出频率。 基本功能: 1、实现8位分频器,依据输入的8位2进制数的不同,有不同的分频比。如输入10010000,即对输入的时钟信号进行144分频,如输入01001000,即对输入的时钟信号进行72 分频。 2、输出的波形应为占空比位50%的方波。 3、有显示功能,显示当前的分频比,即,输入的二进制数的大小。 总体框图 设计思路:数控分频器用计数值可并行预置的加法器设计完成,当在输入端给定不同输入数据时将对输入的时钟信号有不同的分频比。把输入端输入的八位二进

制数直接通过BCD译码器转换为十位BCD码表示的十进制数,通过扫描器对3个数码管进行选通扫描,最后7段数码管显示译码器对选中数码管的赋值进行译码,并驱动数码管显示该数据。 模块的功能: 1、数控分频器:实现8位分频器,依据输入的8位2进制数的不同,有不同的分频比。如输入10010000,即对输入的时钟信号进行144分频。 2、BCD译码器:把输入端的8位2进制数转换成10位BCD码表示的十进制数。 3、扫描器:when “000”=>daout<=dout(3 downto 0); when “001”=>daout<=dout(7 downto 4); when “010”=>daout<=dout(3 downto 2)<="00"; daout(1 downto 0)<=dout(9 downto 8); when others=>null; 4、3-8译码器:当sel=0时,q=11111110;选择个位数码管亮。 当sel=1时,q=11111101;选择十位数码管亮。 当sel=2时,q=11111011;选择百位数码管亮。 5、7段数码管显示译码器:把BCD码表示的十进制数转换成驱动数码管显示的段信号,使数码管显示数字。 三、选择器件 1、装有QuartusII软件的计算机一台。 2、芯片:使用altera公司生产的Cyclone系列芯片,如EP1C12Q240C8芯片 。 此次设计实验采用ALTERA公司的cyclone系列的FPGA芯片EP1C12,设计和仿真采用ALTERA公司的QUARTUS II软件,EP1C12各项参数参照上表。 Cyclone的性能特性 (1)、新的可编程体系结构,实现低成本设计。

八位十进制分频器实验报告

重庆交通大学 信息科学与工程学院 综合性实验报告 姓 名: 赵娅琳 学 号 10950214 班 级: 通信工程专业2010级 2班 实验项目名称: 8位16进制频率及设计 实验项目性质: 设计性 实验所属课程: 数字设计基础 实验室(中心): 现代电子实验中心 指 导 教 师 : 李 艾 星 实验完成时间: 2012 年 6 月 18 日

教师评阅意见: 签名:年月日 实验成绩: 一、课题任务与要求 1、验证8位16进制频率计的程序; 2、根据8位16进制频率计的程序设计8位10进制频率计; 二、设计系统的概述 频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率,通常情况下计算每秒内待测的脉冲个数,此时闸门时间为1秒,闸门时间也可以大于或小于1秒。频率信号易于传输,抗干扰性强,可以获得较好的测量精度。 数字频率计的关键组成部分包括一个测频控制信号发生器、一个计数器和一个锁存器,另外包括脉冲发生器、姨妈驱动电路和显示电路。 工作过程:系统正常工作时,脉冲信号发生器输入1HZ的标准信号,经过测频控制信号发生器的处理,2分频后即可产生一个脉宽为1秒的时钟信号,以此作为计数闸门信号。测量信号时,将被测信号通过计数器作为时钟。当技术闸门信号高平有效时,计数器开始计数,并将计数结果送入锁存器中。设置锁存器的好处是现实的数据稳定,不会由于周期的清零信号而不断闪烁。最后将所存的数值由外部的译码器并在数码管上显示。 三、单元电路的设计与分析(重点描述自己设计部分) 1、八位十进制计数顶层模块设计 (1)、此模块是元件例化语句将各个元件(测频控制模块、十进制计数模块、测频锁存器模块)连接形成一个整体,完成最终频率计所期望的功能。

工作报告之数控铣床实验报告

数控铣床实验报告 【篇一:数控铣床实验报告】 数控铣床实训报告 一、实训目的: 1、熟悉数控实训车间安全管理规定; 2、了解数控铣床的基本结构、工作原理及其工作方法,学会正确的操作铣床; 3、熟练掌握系统面板及操作界面的使用; 、 4、掌握数控机床编程方法。 二、实训设备与材料: 铣床:大连xd-40a 刀具:平底铣刀 测量工具:游标卡尺 刀具:平口虎钳 材料:石蜡、木板 绘图工具:autocad绘图软件 ~ 三、实训内容: 1、在实训老师的指导下,了解数控铣床的结构特点,铣床的工作原理及其工作方法。 2、学会编辑并运行程序,最后加工成品。

四、操作步骤: 1、用autocad绘图软件绘出工件模型,并标出各点坐标。 2、对刀,并设定工作坐标系。 3、编写程序,在程序编辑模式下输入程序 4、用计算机仿真,若仿真结果出现错误,则需要再次修改程序,直至结果正确。此时需重新启动数控面板,接着重复步骤2。若仿真结果与所期望的图形一致,则新启动数控面板,接着重复步骤2。 ) 5、切削加工。 6、工件完成后将x、y、z轴复位。接着关闭数控面板电源,再关闭铣床电源。 五、操作注意事项: 1、在对刀过程中xyz轴向一定要清楚,头晕或状态不好时不要去操作操作机床,以免发生意外。在对刀过程中手摇器倍率要调节好,靠近工件的时候一定要把倍率调小,这样可以保证安全和确保更高的对到精确度。 2、操作时要注意刀具有半径补偿,故设计零件时要注意临界值,并注意刀补的方向。 3、铣床操作过程中出现警报时,要及时查找出错原因,切忌不可重启机子解决此问题,否则将出现同样情况。 4、编辑完程序后需要在模拟后保证安全的情况下才能进行加工,在模拟完后要进行加工时务必要先清零,而且要保证回零完全。 六、附录 * 哑铃程序:

数控分频器实验报告

《数控分频实验》 姓名:谭国榕班级:12电子卓越班学号:201241301132 一、实验目的 1.熟练编程VHDL语言程序。 2.设计一个数控分频器。 二、实验原理 本次实验我是采用书上的5分频电路进行修改,通过观察其5分频的规律进而修改成任意奇数分频,再在任意奇数分频的基础上修改为任意偶数分频,本次实验我分为了三个部分,前两部分就是前面所说的任意奇数分频和任意偶数分频,在这个基础上,再用奇数输入的最低位为1,偶数最低位为0的原理实现合并。 三、实验步骤 1.任意奇数分频 程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY DIV1 IS PORT(CLK:IN STD_LOGIC; D:IN INTEGER RANGE 0 TO 255; K1,K2,K_OR:OUT STD_LOGIC ); END; ARCHITECTURE BHV OF DIV1 IS SIGNAL TEMP3,TEMP4:STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL M1,M2:STD_LOGIC; --SIGNAL OUT1,OUT2,OUT3:STD_LOGIC; BEGIN PROCESS(CLK,TEMP3) BEGIN IF RISING_EDGE(CLK) THEN IF(TEMP3=D-1) THEN TEMP3<="00000000"; ELSE TEMP3<=TEMP3+1; END IF; IF(TEMP3=D-(D+3)/2) THEN M1<=NOT M1; ELSIF (TEMP3=D-2) THEN M1<=NOT M1; END IF; END IF; END PROCESS; PROCESS(CLK,TEMP4) BEGIN IF FALLING_EDGE(CLK) THEN IF(TEMP4=D-1) THEN TEMP4<="00000000"; ELSE TEMP4<=TEMP4+1; END IF; IF(TEMP4=D-(D+3)/2) THEN M2<=NOT M2; ELSIF (TEMP4=D-2) THEN M2<=NOT M2;

数控车床操作实验报告

目的 1、了解数控车床机械结构 2、了解数控车床的基本操作 3、掌握简单零件的数控加工 原理 数控车床是一种高度自动化的机床,在加工工艺与加工表面形成方法上与普通机床是基本相同的,最根本的不同在于实现自动化控制的原理与方法上。数控车床是用数字化的信息来实现自动化控制的,将与加工零件有关的信息——工件与刀具相对运动轨迹的尺寸参数(进给执行部件的进给尺寸),切削加工的工艺参数(主运动和进给运动的速度、切削深度等),以及各种辅助操作(主运动变速、刀具更换、冷却润滑液关停、工件夹紧松开等)等加工信息用规定的文字、数字、符号组成的代码按一定的格式编写成加工程序单,将加工程序通过控制介质输入到数控装置中,由数控装置经过分析处理后,发出各种与加工程序相对应的信号和指令控制机床进行自动加工 仪器设备 数控车床、塑料棒料 步骤 1、开机,打开机床照明 2、输入程序后并检查加工轨迹 3、装夹工件,检查刀具 4、试切法对刀并验刀 5、调出程序,自动加工 6、手动切断 7、打扫机床并关机 实验过程原始记录 1、上电循环系统启动(解锁状态)打开照明 2、装工件、刀具(45mm的零件需要80-100mm的伸长量)选择35°外圆车刀换刀(在MDI模式下点prog选择1号刀,并输入) 3、仿真(目的检查程序是否准确)CSTM/GR→圆形→操作→head(自动)→执行 4、对刀定参考点坐标右端面,外圆(0,0) MDI→prog→M03S500 插入 循环启动,在手摇模式下切断面(x100:表示一个脉冲走0.1mm)显示坐标:pos 拨扭向上是x方向,下是z方向,切的时候切到一点就好 切削加工过程时倍率的选择(x25)顺时针转动轮盘为退刀,逆为切削 offset→磨耗→清零→输入→形状(注意看清与选择刀具一致) 番号01 z0.0 测量顺时针摇出 Pos(调坐标) 切外圆(x25)注意摇出时x坐标不变 Reset 复位记录27.6mm 补正→形状→x→输入→测量→检测 5、编辑→prog→程序→自动倍率为0时是为了定位 →复位→自动→倍率打开,开始切削 6、合上门,待加工结束 7、关机 实验结果及分析 数控车床主要用于加工轴类、盘套类等回转体零件,能够通过程序控制自动完成内外圆柱面、锥面、圆弧、螺纹等工序的切削加工,并进行切槽、钻、扩、铰孔等工作,一次装夹中可以

实验一 QUARTUS II入门和分频器设计

实验报告 课程名称EDA技术与VHDL设计 实验项目Quartus II入门 实验仪器计算机、Quartus II 系别信息与通信工程学院 专业电子信息工程 班级/学号电信1201 / 2012010970 学生姓名张宗男 实验日期 成绩 指导教师

实验一 QUARTUS II入门和分频器设计 一、实验目的 1.掌握QUARTUS II工具的基本使用方法; 2.掌握FPGA基本开发流程和DE2开发板的使用方法; 3.学习分频器设计方法。 二、实验内容 1.运用QUARTUS II 开发工具编写简单LED和数码管控制电路并下载到DE2 实验开发板。2.在QUARTUS II 软件中用VHDL语言实现十分频的元器件编译,并用电路进行验证,画出仿真波形。 三、实验环境 1.软件工具:QUARTUS II 软件;开发语言:VHDL; 2.硬件平台:DE2实验开发板。 四、实验过程 1.设计思路 (1)、 18个开关控制18个LED灯,通过低位四个开关的‘1’‘0’控制LED灯上7段灯的显示(2)、 实现10分频IF(count="1001") THEN count<="0000"; clk_temp<=NOT clk_temp; 达到9的时候,把“0000”给到cout,然后clk_temp 信号翻转,从而实现10分频。 2.VHDL源程序 (1)、 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY e_zhangzongnan IS PORT(SW :IN STD_LOGIC_VECTOR(0 TO 17); HEX0 :OUT STD_LOGIC_VECTOR(0 TO 6);

实验五 数控分频器

实验五数控分频器 一、实验目的 1、学会数控分频器的设计、分析和测试方法; 2、根据仿真结果分析设计的优缺点。 二、实验原理 数控分频器的功能就是当输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DIV IS PORT(CLK:IN STD_LOGIC; K_OR:OUT STD_LOGIC); END ENTITY DIV; ARCHITECTURE BHV OF DIV IS SIGNAL C1,C2:STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL M1,M2:STD_LOGIC; BEGIN PROCESS(CLK,C1) BEGIN IF RISING_EDGE(CLK) THEN IF (C1="100") THEN C1<="000";ELSE C1<=C1+1;END IF; IF (C1="001") THEN M1<=NOT M1;ELSIF C1="011" THEN M1<=NOT M1; END IF; END IF; END PROCESS ; PROCESS(CLK,C2) BEGIN IF FALLING_EDGE(CLK) THEN IF (C2="100") THEN C2<="000";ELSE C2<=C2+1;END IF; IF (C2="001") THEN M2<=NOT M2;ELSIF C2="011" THEN M2<=NOT M2;

EDA分频器实验教案

实验三:整数分频器的设计 一实验目的 了解利用类属设计可配置的重用设计技术。 二实验原理 利用类属n 可以改变分频器的分频系数,输出q的频率是输入信号clk频率的1/n。通过给n赋不同的值,就可以得到需要的频率。 三实验器材 EDA实验箱 四实验内容 1.参考教材第5章中5.3.1,完成整数分频器的设计。 2.修改5. 3.1中的分频系数n,观察仿真波形和实验箱输入/输出信号的变化。 3.在试验系统上硬件验证分频器的功能。 在实验三中,建议将实验箱的实验电路结构模式设定为模式7。输入信号clk由键7的输出来模拟,输入信号reset_n由键8模拟,输出信号q接至LED:D14。当然,也可以由实验者设定到其它功能相似的键或者LED。

代码:(参考教材第5章中5.3.1) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div is generic(n:integer:=8); port(clk,reset_n:in std_logic; q: out std_logic); end div; architecture behavl_div of div is signal count:integer range n-1 downto 0; begin process(reset_n,clk) begin if reset_n='0' then q<='0'; count<=n-1; elsif(clk'event and clk='1' and clk'last_value='0')then count<=count-1; if count>=(n/2)then q<='0'; else q<='1'; end if; if count<=0 then count<=n-1; end if; end if; end process; end behavl_div; 五实验注意事项 1.编写代码时一定要书写规范 2模式的选择和引脚的配置一定要合理 六实验报告 简述实验过程,将实验项目分析设计,仿真和测试写入实验报告。

数控技术实验报告(答案版)

数控技术实验报告 学院 班级 学号 姓名 成绩 井冈山大学机械系 2010年03月

注意事项 数控操作实验是数控技术课程的组成部分之一,对于培养学生理论联系实际和实际动手能力具有极其重要的作用。因此,要求每个学生做到: 一、每次实验前要认真预习,并在实验报告上填写好实验目的和所用 实验设备; 二、实验前,每人必须配合实验指导老师在实验室记录本上做好相关 记录; 三、实验中要遵守实验规则,爱护实验设备,仔细观察实验现象,认 真记录实验数据; 四、在实验结束离开实验室前,必须认真仔细清点整理实验仪器和实 验设备,经实验指导教师检查后后方可离开实验室; 五、实验结束后,要及时对实验数据进行整理、计算和分析,填写好 实验报告,并上交授课教师批阅。

实验一数控车床的认识 实验日期 2010 年 04 月 10 日 同组成员指导教师(签字) 一、实验目的 1.了解数控车削加工工件坐标的设定方法; 2.掌握数控车床操作面板上常用按键的功能; 3.掌握数控车床的基本操作方法。 二、实验设备(规格、型号) 1.CAK3675V数控车床; 2.CAK3275V数控车床; 3.Fanuc 0i-mate TC数控系统。 三、实验记录及数据处理 1. 熟悉Fanuc 0i前置刀架数控车床的操作面板,依次解释、、、、、 、、、、、、、、、、、、、、、、、、、、、、等的功能。 依次的功能为: 按键功能按键功能 显示坐标位置。显示程序屏幕。 显示偏置/设置屏幕。取消键,用于删除最 后一个进入输入缓 存区的字符或符号。

输入键,用于输入工件偏移 值、刀具补偿值(纯数值), 如。 显示用户宏程序/图 形轨迹显示 替换键。插入键,输入指令 字、程序段,如。删除键。复位键,用于使CNC 复位或取消报警等。自动运行远程执行 编辑手动 MDI手动脉冲 单节手动脉冲 单节忽略 主轴正转、停止、反 转控制按钮 选择性停止循环启动 机械锁定循环停止

分频器的设计

分频器的设计 一、课程设计目的 1.学会使用电路设计与仿真软件工具Hspice,熟练地用网表文件来描述模拟电路,并熟悉应用Hspice内部元件库。通过该实验,掌握Hspice的设计方法,加深对课程知识的感性认识,增强电路设计与综合分析能力。 2.分频器大多选用市售成品,但市场上出售的分频器良莠不齐,质量上乘者多在百元以上,非普通用户所能接受。价格在几十元以下的分频器质量难以保证,实际使用表现平庸。自制分频器可以较少的投入换取较大的收获。 二.内容 分频器-概述 分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。早期的分频器多为正弦分频器,随着数字集成电路的发展,脉冲分频器(又称数字分频器)逐渐取代了正弦分频器,即使在输入输出信号均为正弦波时也往往采用模数转换-数字分频-数模转换的方法来实现分频。正弦分频器除在输入信噪比低和频率极高的场合已很少使用。

分频器-作用 分频器是音箱中的“大脑”,对音质的好坏至关重要。功放输出的音乐讯号必须经过分频器中的各滤波元件处理,让各单元特定频率的讯号通过。要科学、合理、严谨地设计好音箱之分频器,才能有效地修饰喇叭单元的不同特性,优化组合,使得各单元扬长避短,淋漓尽致地发挥出各自应有的潜能,使各频段的频响变得平滑、声像相位准确,才能使高、中、低音播放出来的音乐层次分明、合拍,明朗、舒适、宽广、自然的音质效果。 在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。其作用如下: 合理地分割各单元的工作频段; 合理地进行各单元功率分配; 使各单元之间具有恰当的相位关系以减少各单元在工作中出现的声干涉失真; 利用分频电路的特性以弥补单元在某频段里的声缺陷; 将各频段圆滑平顺地对接起来。 分频器-分类 1)功率分频器:位于功率放大器之后,设置在音箱内,通过LC滤波网络,将功率放大器输出的功率音频信号分为低音,中音和高音,分别送至各自扬声器。连接简单,使用方便,但消耗功率,出现音频谷

实验报告1 简单分频器

课程名称:FPGA指导老师:_竺老师_______成绩:__________________ 实验名称:简单分频器的设计实验类型:_______同组学生姓名:__俞杰草______ 一、实验目的和要求(必填)二、实验内容和原理(必填) 三、主要仪器设备(必填)四、操作方法和实验步骤 五、实验数据记录和处理六、实验结果与分析(必填) 七、讨论、心得 一.实验目的 a)熟悉Xilinx ISE软件,学会设计,仿真,综合和下载。 b)熟悉实验板电路设定频率的方法。 二.实验内容 根据实验板上晶振的输入频率50MHz,如果直接用这么高的时钟频率来驱动LED的闪烁,人眼将无法分辨。因此本实验着重介绍如何通过分频计数器的方式将50MHz的输入频率降低为人眼可分辨的频率(10Hz以下),并在实验板的LED2~LED5上显示出来。 三.实验记录 【实验现象】 当将rst_n信号对应的开关拨下,led0熄灭,其他所有led亮。 当将rst_n信号对应的开关往上拨,有7盏led亮,1盏led灭,且灭的led从led0向led7,再跳回led0不断循环往复的移动。 【主要程序段分析】 reg[22:0]cnt; always@(posedge clk) if(rst_n)cnt<=23'd0; else cnt<=cnt+1'b1;//带复位键的位宽为24位的分频计数器,以降低闪烁频率reg[7:0]led; always@(posedge clk) if(rst_n) led<=8'b00000001;//按键复位 else if((cnt==23'h7fffff)&&(led==8'b10000000)) led<=8'b00000001;//循环一周后从D5开始下一周的循环 else if(cnt==23'h7fffff) led<=led<<1;//左移一位,右端补零 assign led_d2=~led[2]; assign led_d4=~led[4];

EDA数控分频器的设计报告

数控分频器的设计 1、实验目的: 学习数控分频器的设计、分析和测试方法。 2、实验原理: 数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可,详细设计程序如例下文所示。 1) VHDL及语句分析 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DVF IS --定义实体DVF PORT ( CLK : IN STD_LOGIC; --时钟输入 D : IN STD_LOGIC_VECTOR(7 DOWNTO 0); --7位预置数 FOUT : OUT STD_LOGIC ); --输出的频率 END DVF; ARCHITECTURE one OF DVF IS --定义结构体one SIGNAL FULL : STD_LOGIC; --定义信号full BEGIN P_REG: PROCESS(CLK) --进程P_REG中CNT8从预置数D开始 逐步累加到255后,FULL置1;再将 CNT8置为D,循环以获得一个新的周期 脉冲序列FULL,即产生新的频率V ARIABLE CNT8 : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF CLK'EVENT AND CLK = '1' THEN IF CNT8 = "11111111" THEN CNT8 := D; FULL<='1'; ELSE CNT8 := CNT8 + 1; FULL <= '0'; END IF; END IF; END PROCESS P_REG ; P_DIV: PROCESS(FULL) --进程P_DIV中,FOUT是占空比为50%的周期

吉大2016年数控技术综合实验报告

吉大2016年数控技术综合实 验报告 《数控技术综合实践》实验考核大纲 课程名称:《数控技术综合实践》 学时学分:5 适用专业:数控技术机械类 先修课程:《机械制图》、《机械工程材料基础》 一、制定本大纲的依据 本大纲根据《数控技术综合实践》考试大纲对学生实验能力的培养要求而制定。 二、实践课程的内容安排及学分分布 1.数控机床结构理论教学(2学分) 2.数控机床及加工中心结构实习(3学分) 三、实践课程目的与要求 实习是根据教学计划来安排得非常重要的实践性教学环节。实习目的是使学生了解和掌握基本生产知识,巩固和丰富已学过的专业知识。培养学生理论联系实际,在生产实际中调查研究、观察问题、分析问题,以及解决问题的能力和方法。 在实习过程中,学生应该学习工人的组织性、纪律性等优秀品质,接受热爱祖国、热爱社会主义建设 的教育,养成在工厂工作和学习的习惯。 《数控技术综合》实验报告 一、实验心得: 1.通过实验我们了解了现代数控机床的生产方式和工艺过程。熟悉了一些材料的成形 方法和主要机械加工方法及其所用主要设备的工作原理和典型结构、工夹量具的使用以及安全操作技术。了解了数控机床方面的知识和新工艺、新技术、新设备在机床生产上的应用。 2.在数控机床的生产装配以及调试上,具有初步的独立操作技能。

3.在了解、熟悉和掌握一定的数控机床的基础知识和操作技能过程中,培养、提高和加强了我的动手能力、创新意识和创新能力。 4.这次实习,让我们明白做事要认真小心细致,不得有半点马虎。同时也培养了我们坚强不屈的本质,不到最后一秒决不放弃的毅力! 5.培养和锻炼了劳动观点、质量和经济观念,强化遵守劳动纪律、遵守安全技术规则和爱护国家财产的自觉性,提高了我们的整体综合素质。 二、数控加工工艺分析(包括机床、刀具的选择,加工路线等): 工件一(如图一)工件二(如图二)使用机床车床车床 装夹工具三爪卡盘夹紧定位三爪卡盘夹紧定位 加工路线 车右端面,循环粗车外圆(Φ10→圆弧 R5→端面→45°倒角),精车(Φ10→圆 弧R5→端面→45°倒角); 循环车削螺纹(刀具初始 位置a→b点→c点→快速 到d→快速回到初始位置 a)刀具外圆粗精车刀(编号T02)螺纹车刀(编号T01)切削用量 粗车(主轴转速2800r/min,进给量 0.2mm/r),精车(主轴转速2800r/min, 进给量0.2mm/r) 主轴转速500r/min; 三、数控加工程序:

相关文档
相关文档 最新文档