文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑作业1

数字逻辑作业1

数字逻辑作业1
数字逻辑作业1

一、 填空题(20分,每空2分) 1、(52.4)16 = ( )10 = ( )8421BCD 。 2、十进制数33的余3码为 。 3、二进制数100110的格雷码是 。 4、函数)D A(C C B B A +++=F ,则F = ,F '= 。 5、将2019个“1”异或得到的结果是 。 6、一个由n 变量构成的最小项有 个相邻最小项。 7、门电路的输入、输出高电平赋值为 ,低电平赋值为 ,这种关系称为负逻辑关系。 二、 化简题 (80分,每小题20分) 1、求逻辑函数)(),,(C A C A B C B AC C B A F +++=的最简与非-与非表达式。 2、用卡诺图法求逻辑函数∑=)14

12

10,8,7,6,3,2(),,,(,,m D C B A Y 的最简与或表达式。

作业纸正反面可答题但此区域(装订线外)正反面均不能答题

3、 用卡诺图法求逻辑函数∑∑+=)15,14,13,12,11,10()9,8,7,5,2,0(),,,(d m D C B A Y 的最简与或表达式。

4、请用卡诺图法将下列逻辑函数化成最简与或表达式。 0)(),,,(=+++⊕=CD AB D C A C B A D C B A D C B A F 且

作业纸正反面可答题但此区域(装订线外)正反面均不能答题

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

数字逻辑课程三套作业及答案

数字逻辑课程三套作业及答 案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

数字逻辑课程作业_A 一、单选题。 1.(4分)如图x1-229 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第五章 解析第五章译码器 2.(4分)如图x1-82 (C)。 A. (A) B. (B) C. (C) D. (D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为(D)的计数器。 A. N B. 2N C. N2次方 D. 2N次方 知识点:第九章 解析第九章计数器

4.(4分)n个触发器构成的扭环型计数器中,无效状态有(D)个。 A. A. n B. B.2n C. C.2n-1 D. D.2n-2n 知识点:第九章 解析第九章集成计数器 5.(4分)如图x1-293 (A)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 6.(4分)如图x1-317 (D)。 A. (A) B. (B) C. (C) D. (D)

知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4分)EPROM是指(C)。 A. A、随机读写存储器 B. B、只读存储器 C. C、光可擦除电可编程只读存储器 D. D、电可擦可编程只读存储器 知识点:第十章 解析第十章只读存储器 8.(4分)如图x1-407 (B)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 9.(4分)为实现将JK触发器转换为D触发器,应使(A)。

吉大19春学期《数字逻辑电路》在线作业一

(单选题)1: 在下列逻辑电路中,不是组合逻辑电路的有() A: 译码器 B: 编码器 C: 全加器 D: 寄存器 正确答案: (单选题)2: 欲使JK触发器按Qn+1=Qn工作,可使JK触发器的输入端()A: J=K=1 B: J=Q,K=/Q C: J=/Q ,K=Q D: J=Q,K=1 正确答案: (单选题)3: 以下表达式中符合逻辑运算法则的是( ). A: C·C=C2 B: 1+1=10 C: 0<1 D: A+1=1 正确答案: (单选题)4: 在一个8位的存储单元中,能够存储的最大无符号整数是( ). A: (256)10 B: (127)10 C: (FE)16 D: (255)10 正确答案: (单选题)5: 以下电路中常用于总线应用的有(). A: TSL门 B: OC门 C: 漏极开路门 D: CMOS与非门 正确答案: (单选题)6: 存储8位二进制信息要()个触发器 A: 2 B: 3 C: 4 D: 8 正确答案: (单选题)7: 欲使JK触发器按Qn+1=/Qn 工作,可使JK触发器的输入端()A: J=K=0

B: J=Q,K=/Q C: J=/Q ,K=Q D: J=Q,K=0 正确答案: (单选题)8: 一位8421BCD码计数器至少需要()个触发器 A: 3 B: 4 C: 5 D: 10 正确答案: (单选题)9: 对于JK触发器,若J=K,则可完成()触发器的逻辑功能 A: RS B: D C: T D: T' 正确答案: (单选题)10: 逻辑表达式Y=AB可以用()实现 A: 正或门 B: 正非门 C: 正与门 D: 或非门 正确答案: (判断题)11: 若两个函数具有不同的逻辑函数式,则两个逻辑函数必然不相等。() A: 错误 B: 正确 正确答案: (判断题)12: OC门,即集电极开路门,是一种能够实现线逻辑的电路。() A: 错误 B: 正确 正确答案: (判断题)13: 逻辑函数两次求反则还原,逻辑函数的对偶式再作对偶变换也还原为它本身。() A: 错误 B: 正确 正确答案: (判断题)14: 当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。()

2011数字逻辑设计大作业题目

数字逻辑设计大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,不能超过3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值均为三分钟,采用倒计时方式。通过按扭启动,由本方控制对方,比如甲方走完一步棋后必须按一次甲方的按键,该按键启动乙方倒计时。同理,乙方走完一步棋后必须按一次乙方的按键,该按键启动甲方倒计时。 (2)超时能发出报警判负。 (3)累计时间设置可以改变。 注:附加功能根据本人能力自行添加 题目4:出租车计费器的设计 汽车在行驶时,里程传感器将里程数转换成与之成正比的脉冲个数,然后由计数译码电路变成收费金额。每行驶1公里,里程传感器输出一个脉冲信号,即10个脉冲/公里。 [设计要求] (1)设计制作自动计费器,金额总数包括行车里程计费、等车时间计费和起步价三部分,金额用数码管显示。 (2)里程单价设2.1元/公里,等车单价为0.6元/10分钟,起步价设为5元(参考)

[答案][福建师范大学]2020秋《数字逻辑》在线作业二

1.若干个具有三态输出的电路输出端接到一点工作时,必须保证()。 A.任何时候最多只能有一个电路处于三态,其余应处于工作态 B.任何时候最多只能有一个电路处于工作态,其余应处于三态 C.任何时候至少要有两个或三个以上电路处于工作态 D.以上说法都不正确 答案:B 2.在下列逻辑电路中,不是组合逻辑电路的有()。 A.译码器 B.编码器 C.全加器 D.寄存器 答案:D 3.一片四位二进制译码器,它的输出函数有()。 A.1 B.8 C.10 D.16 答案:D 4.三极管作为开关使用时,下列选项不能提高开关速度是()。 A.降低饱和深度 B.增加饱和深度 C.采用有源泄放回路 D.采用抗饱和三极管 答案:B 5.组合逻辑电路中的险象是由于()引起的。 A.电路未达到最简 B.电路有多个输出 C.电路中的时延 D.逻辑门类型不同

答案:C 6.以下电路中常用于总线应用的有()。 A.TSL门 B.OC门 C.漏极开路门 D.CMOS与非门 答案:A 7.MOS集成电路采用的是()控制,其功率损耗比较小。 A.电压 B.电流 C.灌电流 D.拉电流 答案:A 8.在何种输入情况下,“与非”运算的结果是逻辑0()。 A.全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 答案:D 9.八路数据选择器应有()个选择控制器。 A.2 B.3 C.6 D.8 答案:B 10.Moore和Mealy型时序电路的本质区别是()。 A.没有输入变量 B.当时的输出只和当时电路的状态有关,和当时的输入无关

C.没有输出变量 D.当时的输出只和当时的输入有关,和当时的电路状态无关答案:B 11.以下代码中为恒权码的为()。 A.循环码 B.5421BCD码 C.余三码 D.格雷码 答案:B 12.以下各电路中,()可以产生脉冲定时器。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.石英晶体多谐振荡器 答案:B 13.逻辑函数的表示方法中具有唯一性的是()。 A.真值表 B.表达式 C.逻辑图 D.时序图 答案:A 14.TTL与非门的多余脚悬空等效于()。 A.1 B.0 C.Vcc D.Vee 答案:A 15.三态门输出高阻状态时,()不正确的说法。

2017年秋季福师《数字逻辑》在线作业一及答案

2017年秋季福师《数字逻辑》在线作业一及答案

2017年秋季福师《数字逻辑》在线作业一及答案 一、单选题(共 20 道试题,共 40 分。) 1. 对于TTL与非门闲置输入端的处理,不可以(). A. 接电源 B. 通过电阻3kΩ接电源 C. 接地 D. 与有用输入端并联 满分:2 分 2. 十进制数25用8421BCD码表示为( ). A. 10 101 B. 0010 0101 C. 100101 D. 10101 满分:2 分 3. 一片四位二进制译码器,它的输出函数有() A. 1 B. 8 C. 10 D. 16 满分:2 分

4. 以下代码中为恒权码的为( ). A. 循环码 B. 5421BCD码 C. 余三码 D. 格雷码 满分:2 分 5. 多谐振荡器可产生() A. 正弦波 B. 矩形脉冲 C. 三角波 D. 锯齿波 满分:2 分 6. 一个T触发器,在T=1时,来一个时钟脉冲后,则触发器( )。 A. 保持原态 B. 置0 C. 置1 D. 翻转 满分:2 分 7. 欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同步二进制计数器,最少应使用()级触发器 A. 2 B. 3

D. 8 满分:2 分 8. 与十进制数(53.5 )10等值的数或代码为( ). A. (0101 0011.0101 )8421BCD B. (36.8 )16 C. (100101.1 )2 D. (65.7 )8 满分:2 分 9. 在何种输入情况下,“与非”运算的结果是逻辑0( ). A. 全部输入是0 B. 任一输入是0 C. 仅一输入是0 D. 全部输入是1 满分:2 分 10. 一位十六进制数可以用( )位二进制数来表示 A. 1 B. 2 C. 4 D. 16 满分:2 分 11. 一个无符号8位数字量输入的DAC,其分辨率为()位

数字逻辑第一次大作业

数字逻辑第一次大作业

一.“七段数码管字形发生器”真值表(支持共阴极,1亮0灭) 输入变量输出变量数码管显 示 A B C D a b c d e f g 0000 1111110 0 000 1 0110000 1 0010 110110 1 2 001 1 111100 1 3 0100 011001 1 4 010 1 101101 1 5 0110 101111 1 6 011 1 1110000 7 1000 111111 1 8 100 1 111101 1 9 1010 111011 1 A 101 1 001111 1 B 1100 1001110 C 110 1 011110 1 D 1110 100111 1 E 111 1 100011 1 F 二.卡诺图化简: A B C D a 0000 1 000 1 0 0010 1 001 1 1 0100 0 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 1 110 1 0 1110 1 AB CD 00 01 11 10 00 1 0 1 1 01 0 1 0 1 11 1 1 1 0 10 1 1 1 1 Fa=B?D?+A?BD+A B?C?+A?C+BC+A D?

111 1 1 A B C D b 0000 1 000 1 1 0010 1 001 1 1 0100 1 010 1 0 0110 0 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 0 110 1 1 1110 0 111 1 0 A B C D c 0000 1 000 1 1 0010 0 001 1 1 0100 1 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 1 1100 0 110 1 1 1110 0 111 1 0 AB CD 00 01 11 10 00 1 1 0 1 01 1 0 1 1 11 1 1 0 0 10 1 0 0 1 Fb=B?D?+B?C?+A?C?D?+A?CD+A C?D AB CD 00 01 11 10 00 1 1 0 1 01 1 1 1 1 11 1 1 0 1 10 0 1 0 1 Fc=A?C?+A?D+A?B+A B?+C?D

《数字逻辑电路》期末大作业实验报告

大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。 数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 (阐述数字逻辑的现状、目的、意义、功能、方法及作用)2第一种数字逻辑电路 方法原理及功能 数据选择器又称为多路开关,是一种重要的组合逻辑器件,它可以实现从多路数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 1、与非门实现二选一数据选择器: 用一种74SL153及门电路设计实现一位全加器,输入用三个单刀双掷开关分别代表A、B、C,输出用两个指示灯分别代表L1、L1。 设计过程与结果(描述方法的操作过程和结果,配截图详细介绍) 在元件库中单击TTL,再单击74LS系列,选中74LS153D。

仿真结果实际结果 L 1 亮单独打开开关A,B,C时; L1灯泡亮 L 2 亮任意打开两个开关; 灯泡L2亮

L 1 和 L 2 都 亮 同时打开开关A,B,C时; 灯泡L1,L2同时亮。 心得体会 经过许多次的失败,在不断尝试中选择一个适合的方式去解决问题,加强对电路的 理解。通过该实验可以培养我们的动手能力和对数字电路的理解。经检验,符合真值表, 达到数据选择的作用。74ls153为双四选一数据选择器,几多一个非门和或门可以组成 数据比较器。能更好的掌握相关芯片的知识,了解其用途。 失败电路一: 失败电路二:

2017年度下半年(数字逻辑)作业

2017年度下半年《数字逻辑》作业 1、将()10转换成二进制数,写出转换演算过程。 解:∴(29)10=余1=a00142余0=a1余1=a2余1=a3余1=a4数制间的转换练习 ×2=整数=0=×2=整数=1=a-2LSB即10=2由上两题可得10=2 10 2、详细写出组合逻辑电路的分析步骤?组合逻辑电路的设计步骤? 解: 分析步骤: 1.根据给定的逻辑图,从输入到输出逐级写出逻辑函数式; 2.用公式法或卡诺图发化简逻辑函数; 3由已化简的输出函数表达式列出真值表; 4从逻辑表达式或从真值表概括出组合电路的逻辑功能. 设计步骤: 1仔细分析设计要求,确定输入、输出变量. 2对输入和输出变量赋予0、1值,并根据输入输出之间的因果关系,列出输入输出对应关系表,即真值表. 3根据真值表填卡诺图,写输出逻辑函数表达式的适当形式. 4画出逻辑电路图. 并测试逻辑功能 3、试设计一个裁判表决器。假设在某举重比赛场上有三位裁判官,其中有一位主判官,两位副判官,当运动员举重完成后,由判官按下自己面前的按钮来决定该运动员这一把是否成功。若有两位或两位以上判官通过(其中一位必须是主判官),则表明这位运动员这一把成功。现试用逻辑电路来完成该表决器。 解: 一、确定输入、输出变量 ①输入变量:A、B、C ―――→三名评判员(其中A为主评判员) ②输出变量:Y ―――→灯 ③用正逻辑表示:A=1,表示同意,A=0表示判不同意; B=1,表示同意,B=0表示判不同意; C=1,表示同意,C=0表示判不同意. Y=1,表示灯亮,Y=0表示灯不亮. 二、列出真值表 输入变量输出变量 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0

数字逻辑大作业—电子密码锁

HARBIN INSTITUTE OF TECHNOLOGY 电子密码锁电路设计 课程名称:数字逻辑 学生所在院(系):计算机学院 学生所在专业:计算机科学与技术 小组成员:于志睿1130310717 贾明达J130310701 李家兴1130310714 小组项目:电子密码锁电路设计 任课教师:张彦航 成绩: 2014 年12 月11日

目录 1、设计目的及要求 2、工作原理、系统方框图 3、各部分选定方案及电路组成、相关器件说明 4、调试过程 5、设计结论 6、设计心得与总结 7、参考文献 附录一:总体器件表及相关器件的功能表、管脚分布附录二:总体设计图 附录三:仿真结果 附录四:小组各成员所做工作

1.设计目的及要求 题目:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 附加功能:可以设置密码,通过设置密码按钮SP(set password)来设置,且只能在初始时设置密码,设置好之后 就会锁住存储端不让重新设置。输入密码是要先按输入密码的 按钮IP(in password),然后输入密码。密码输入最多2次, 超过2次就关锁。 2.工作原理、系统方框图 按照设计的要求,输入端有10个密码输入端,一个设置密码的按钮和一个输入密码的按钮。输出端有显示密码是否正确的灯(一个黄灯闪烁表示密码设置好了,绿灯亮表示密码输入正确,红灯亮表示关锁状态)和倒计时的显示端(用七段数码管实现)。 如下图所示:

哈工大数字逻辑大作业

汽车尾灯控制器 信安一班 1150320101-孙晨1150810613-李秋豪1152210121-路祥鹏 (按笔画顺序排列) 注:任何人可以自由的复制、修改、分发本文。但是如果您的版本中含有附录的参考图片:1.在用于非商业、非盈利、非广告性目的时需注明作者及出处“百度百科”。2.在用于商业、盈利、广告性目的时需征得作者同意,并注明作者姓名、授权范围及出处“百度百科”。GMT+8 2016-12-07 20:20

一、目录 设计要求------------------------------------------------------------- 3 工作原理,系统方框图----------------------------------------------- 3 各部分选定方案及说明----------------------------------------------- 5 总体设计图与仿真结果----------------------------------------------- 9 设计心得与总结------------------------------------------------------ 17 参考文献------------------------------------------------------------- 17 附录:总体器件表及其功能表、管脚分布----------------------------- 18

二、设计要求 设计一个汽车尾灯控制器。汽车尾部左右两侧各有3个指示灯。根据汽车运行情况,指示灯有4+2种显示模式: (1)汽车正向行驶,所有指示灯全部熄灭。 (2)汽车右转弯,右侧的三个指示灯按右循环模式顺序点亮。 (3)汽车左转弯,左侧的三个指示灯按左循环模式顺序点亮。 (4)临时刹车,左右两侧的指示灯同时处于闪烁状态。 (5)倒车状态,右侧的三个指示灯按右循环模式顺序点亮,同时左侧的三个指示灯按左循环模式顺序点亮。 (6)故障状态,所有灯全亮且不闪烁。 三、工作原理,系统方框图 大致原理:(详细介绍见第四部分) 该器件有六种状态,所以应该使用三个开关状态L1、L2、L3来表达。 对于正常行驶状态,灯全灭,即对所有的灯给低电平。 对于汽车右转弯,右侧的三个指示灯按右循环模式顺序点亮,可以采取三进制计数器(十进制改造)+三八译码器来实现,其中三进制计数器的输出作为译码器的输入,译码器的输出决定三个右侧灯的亮暗。 对于汽车左转弯,原理同汽车右转弯的实现方法。 对于临时刹车,可以使用CP信号直接决定六个灯的亮暗,达到闪烁的目的。 对于故障状态,对所有的灯置高电平。

数字逻辑设计及应用 本科3 答案82870

. . 电子科技大学网络教育考卷(C 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 大题号 一 二 三 四 五 六 七 八 九 十 合 计 得 分 一、填空题(每空1分,共20分) 1、10111012= 135 8= 5D 16= 1110011 格雷码 2、FF 16= 255 10= 001001010101 8421BCD = 010********* 余3码 3、已知某数的反码是1010101,则该数的对应的原码是 1101010 ,补码是 1101011 ; 4、逻辑运算的三种基本运算是 与或非 ; 5、一个逻辑在正逻辑系统下,表达式为B A +,则该逻辑在负逻辑系统下,表达式为 AB ; 6、逻辑式A /(B+CD /)的反演式为 A+B /(C /+D) ; 7、已知∑= )3,1,0(),,(m C B A F ,则∑=m F / ( 2,4,5,6,7 ) M ∏=( 2,4,5,6,7 ) ; 8、请问图1-8逻辑为Y= (AB)/ ; 9、n 选1的数据选择器的地址输入的位数为 log 2n(向上取整) 位,多路输入端得个数为 n 个; 10、如果用一个JK 触发器实现D 触发器的功能,已知D 触发器的输入 信号为D ,则该JK 触发器的驱动为: J=D;K=D / ; 11、如果用一个D 触发器实现T 触发器的功能,已知T 触发器的输入信号为T ,则该D 触发器的驱动为: T ⊕Q ; 12、如果让一个JK 触发器只实现翻转功能,则该触发器的驱动为: J=K=1 ; 13、利用移位寄存器实现顺序序列信号1001110的产生,则该移位寄存器中触发器的个数为: 大于或等于3 个; 二、选择题(每题1分,共10分) 1、以下有关原码、反码和补码的描述正确的是: ①.二进制补码就是原码除符号位外取反加1; ②.补码即是就是反码的基础上再加1; ③.负数的原码、反码和补码相同; ④.正数的原码、反码和补码相同; 2、下列逻辑表达式中,与D BC C A AB F / / / 1++=不等的逻辑是: ①./ / / BC C A AB ++ ②./ ///D BC C A AB ++ ③./ /C A AB + ④.BD C A AB ++/ / 3、已知门电路的电平参数如下:,,,,V 3.0V V 0.3V V 25.0V V 2.3V L I IH OL OH ≤≥≤≥请问其低电平的噪声容限为: ①. 0.05V ②. 0.2V ③. 2.95V ④. 2.7V 4、下列逻辑中,与/ A Y =相同的逻辑是: ①.1A Y ⊕= ②.0A Y ⊕= ③.A A Y ⊕= ④./ )A A (Y ⊕= 5、有如下所示波形图,已知ABC 为输入变量,Y 为输出变量,我们可以得到该逻辑的函数式为: ①.AC AB Y += ②.C B A Y ++= ③.C B A Y ??= ④./ / / C B A Y ++= 6、在同步状态下,下面哪种时序逻辑器件的状态更新仅仅发生在时钟触发沿来临的瞬间,并且状态更新的依据也仅仅取决于当时的输入情况: ①.锁存器 ②.电平触发的触发器 ③.脉冲触发的触发器 ④.边沿触发的触发器器 7、或非门所构成的SR 触发器的输入为S 和R ,则其工作时的约束条件为: ①.1R S =+ ②.0R S =? ③.0R S / / =+ ④.R S = 8、要实现有效状态数为8的扭环计数器,则所需移位寄存器中的触发器个数为: ①.8 ②.4 ③.3 ④.2 9、下面的电路,属于组合逻辑的电路是: ①.串行数据检测器 ②.多路数据选择器 ③.顺序信号发生器 ④.脉冲序列发生器 10、下面哪些器件不能够实现串行序列发生器 ①.计数器和组合门电路 ②.数据选择器和组合门电路 ③.移位寄存器和组合门电路 ④.触发器和组合门电路 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-8 图2-5

大一数字逻辑大作业

大一数字逻辑大作业 ——乒乓球比赛模拟机的设计 题目:乒乓球比赛模拟机的设计 院系:软件学院 专业年级: 14 姓名与学号:王峥 1143710510 孙一铀 1143710513 周擎阳 1143710312 指导老师:张彦航 2015年6月18日

目录 1 绪论 1.1设计目的 (3) 1.2设计要求 (3) 2 电路组成及工作原理 2.1系统逻辑模型 (4) 2.1.1系统模块需求 (4) 2.1.2组员分工 (4) 2.2系统模块结构 (4) 2.2.1全局控制模块 (4) 2.2.1.1连续四脉冲发生单元 (5) 2.2.1.2数据初始化单元 (6) 2.2.1.3倒计时显像单元 (7) 2.2.1.4 开球信号产生单元 (8) 2.2.1.5 模块总体设计展示 (9) 2.2.1.4.1逻辑图 (9) 2.2.1.4.1波形图 (10) 2.2.2中央控制模块 (10) 2.2.2.1程序综述 (10) 2.2.2.2 代码实现 (11) 2.2.2.3实现效果 (13) 2.2.3计数模块 (14) 2.2.3.1局比分计数单元 (14) 2.2.3.2大比分计数单元 (15) 2.2.3.3数据清零单元 (16) 2.2.3.4信息反馈单元 (17) 2.2.3.5显像管显示单元 (18) 3 调试过程 3.1全局控制模块 (20) 3.2中央控制模块 (24) 3.3计数模块 (26) 4 设计结论 (27) 5 设计心得与总结 (28) 附录一:总体器件表及相关器件的功能表、管脚分布 (29) 附录二:总体设计图 (30) 附录三:仿真结果 (32) 附录四:工作说明 (32) 参考文献 (32)

电子科技大学数字逻辑设计及应用作业答案

答案+我名字 查看考卷——作业提交1 当前得分:15 分(总分:100 分),折合成百分制共 15 分。 返回 作业提交1 1. 逻辑函数 ,请问其反函数 。 () () () [参考答案:] 分值:5 得分: 分 系统自动批改于2019年9月19日 14点35分 2. 有关异或逻辑的描述不正确的是 。 () 异或逻辑的反函数是同或逻辑 () 异或逻辑的对偶逻辑是同或逻辑 () 一个逻辑变量和0异或得到的是其反函数 [参考答案:] 分值:5 得分: 分 系统自动批改于2019年9月19日 14点35分

3. 已知一个逻辑的最小项之和为F(, , )=∑m(0,4,7) ,以下哪个等式成 立。 () () () [参考答案:] 分值:5 得分:5 分 系统自动批改于2019年9月19日14点35 分 4. 下面所描述的逻辑函数表达式中,哪一种可以直接从真值表中得到,而 无需其他中间步骤。 () 标准形式 () 最简与或式 () 与非-与非形式 [参考答案:] 分值:5 得分:5 分 系统自动批改于2019年9月19日14点35 分 5. 下面有关最小项的描述正确的是。 () () () [参考答案:] 分值:5 得分:0 分 系统自动批改于2019年9月19日14点35 分 6. 下面有关逻辑函数的描述中,正确的是。

() 逻辑函数的最小项之和是唯一的 () 逻辑函数的最简与或表达式是唯一的 () 逻辑函数的与非-与非逻辑表达式是唯一的[参考答案:] 分值:5 得分:5 分 系统自动批改于2019年9月19日14点35 分 7. 请问或非逻辑的对偶关系是。 () 或非逻辑 () 与非逻辑 () 与或非逻辑 [参考答案:] 分值:5 得分:0 分 系统自动批改于2019年9月19日14点35 分 8. 逻辑函数,请问其最小项之和为。 () () () [参考答案:] 分值:5 得分:0 分 系统自动批改于2019年9月19日14点35 分 9. 逻辑函数Y(, , , )=∑m(0,2,4,6,9,13) + (1,3,5,7,11,15)的最简与 或式为() () +’’

2020秋西安电子科技大学《数字逻辑电路》大作业期末试题及答案

学习中心/函授站_ 姓名学号 西安电子科技大学网络与继续教育学院 2020 学年下学期 《数字逻辑电路》期末考试试题 (综合大作业) 题号一二三四总分 题分30 10 30 30 得分 考试说明: 1、大作业试题于2020 年10 月15 日公布: (1)毕业班学生于2020 年10 月15 日至2020 年11 月1 日在线上传大作业答卷; (2)非毕业班学生于2020 年10 月22 日至2020 年11 月8 日在线上传大作业答卷; (3)上传时一张图片对应一张A4 纸答题纸,要求拍照清晰、上传完整; 2、考试必须独立完成,如发现抄袭、雷同均按零分计; 3、答案须用《西安电子科技大学网络与继续教育学院标准答题纸》手写 完成,要求字迹工整、卷面干净。 一、单项选择题(每小题2 分,共40 分) 1、下列各数中与十进制数101 不相等的数是( D )。 A.(0100 0011 0100)余3BCD B.(141)8C.(1100101)2D.(66)16 2、八进制数(35)8的8421BCD 是( B )。 A.0011 1000B.0010 1001C.0011 0101D.0010 1100 3、为使与非门输出为1 则输入( A )。 A.只要有0 即可B.必须全为0C.必须全为1D.只要有1 即可 4、函数F AC BC AB与其相等的表达式是( B )。 A.BC B.C+AB C.AC AB D.AB

5、使函数F AB AC BC 等于 0 的输入 ABC 的组合是( C )。 A .ABC=111 B .ABC=110 C .ABC=100 D .ABC=011 6、四变量的最小项ABCD 的逻辑相邻项是( A )。 A .ABCD B .ABCD C .ABC D D .ABCD 7、函数F ABC B .C (A D )BC 的对偶式是( C )。 A . G (A B C )(B C )(AD B C ) B .G A B C (B C )AD B C C .G A B C (B C )(A D B C ) D .G A B C (B C )A D B C 8、F A B C ADE BDE ABC 的最简式为( A )。 A .1 B .ABC DE C .ABC D .A+BDE+CDE 9、 函数F AC BC AB 的最简与非式为( D )。 10、 函数F A B C A .B .D AC ACD AB .D 的最简与或式为 ( D )。 A .F BC AD B .F B C AD C .F AB AC BD D .F AB AC BD 11、 函数F (ABCD ) (0,1,3,4,5,7,12),约束条件为BCD ABD 0 ,其 最简与或非式是( C )。 A .F A .C AD BD B .F CD AB AD A . B . C . D . B A B A C B C B B A . C A B A . . A B C A

华南理工大学网络教育2020.3《数字逻辑》平时作业-答案

2020.3《数字逻辑》平时作业 1.简述PN结的形成过程,并说明PN结的电学特性。 答:PN结采用不同的掺杂工艺,将P型半导体与N型半导体制作在同一copy块硅片上,在它们的交界面就形成空间电荷区称PN结。PN结具有单向导电性。PN结(PN junction)一块单晶半导体中,一部分掺有受主杂质是P型半导体,另一部分掺有施主杂质是N型半导体时,P 型半导体和N型半导体的交界面附近的过渡区称。PN结有同质结和异质结两种百。用同一种半导体材料制成的PN 结叫同质结,由禁带宽度不同的两种半导体材料制成的PN结叫异质结。制度造PN结的方法有合金法、扩散法、离子注入法和外延生长法等。制造异质结通常采用外延生长法。在P 型半导体中有许多带正电荷的空穴和带负电荷的电离杂质。在电场的作用下,空穴是可以移动的,而电离杂质(离子)是固定不动的。N 型半导体中有许多可动的负电子和固定的正离子。当P型和N型半导体接触时问,在界面附近空穴从P型半导体向N型半导体扩散,电子从N型半导体向P型半导体扩散。空穴和电子相遇而复合,载流子消失。因此在界面附近的结区中有一段距离答缺少载流子,却有分布在空间的带电的固定离子,称为空间电荷区。 2.简述双极型晶体管的三种工作状态。 答: (一)工作状态(或工作模式)有放大状态、截止状态、饱和状态和反向放大状态四种.放大状态就 是输出电流与输入电流或者与输入电压成正比的一种工作状态。在输出伏安特性曲线上,放大状态所处的范围对于BJT和FET有所不同。 (二)饱和状态就是晶体管的一种低电压、大电流工作状态(即开态).对于BJT(双极型晶体管)和 对于FET(场效应晶体管),饱和状态的含义大不相同,要特别注意区分开来.饱和状态就是晶体管的一种低电压、大电流工作状态(即开态).对于BJT(双极型晶体管)和对于FET(场效应晶体管),饱和状态的含义大不相同,要特别注意区分开来。 (三)截止状态就是电流很小、基本上不导通的一种工作状态(工作模式).这种状态,不管是 BJT(双极型晶体管),还是FET(场效应晶体管),都是一致的;在输出伏安特性曲线上,其范围都是处于最下面的小区域(紧靠横轴——电压轴) 。 3.简述场效应晶体管的工作原理。 答:场效应管三个引脚分为:g极(栅极)、d极(漏极)、s极(源极)。场效应管与三极管的工作原理有很大的不同。场效应管时由加在输入端(栅极)的电压来控制输出端(漏极)的电流,也就是说场效应管是由栅极输入电压控制的压控电流源。 4.把十进制数0.625转换成二进制数。 答:0.625=(0.101)2。 5.何为卡诺图?请写出用卡诺图化简逻辑函数的一般步骤。

数字逻辑-电子密码锁

数字逻辑大作业报告设计题目:电子密码锁 班级: 1203105 任课教师:张彦航 计算机科学与技术学院

1. 目录: 1. 目录: (2) 2. 设计目的及要求 (3) 2.1设计目的: (3) 2.2设计要求: (3) 3. 工作原理、系统方框图 (4) 3.1工作原理 (4) 3.2系统方框图 (7) 4. 各部分选定方案及电路组成、相关器件说明 (8) 4.1输入单元 (8) 4.2比较单元 (8) 4.3记录步骤单元 (10) 5. 调试过程 (10) 6. 设计结论 (11) 6.1设计综述 (11) 6.2设计结论 (11) 6.3设计缺陷 (11) 7 设计心得与总结 (12) 1: (12) 2: (12) 3: (13) 8. 参考文献 (13) 9. 附录 (14) 附录一:总体器件表及相关器件的功能表、管脚分布 (14) 键盘(真): (14) 比较单元: (15) 计时单元: (15) 附录二:总体设计图 (16) 附录三:仿真结果 (17) 附录四:小组各成员分工 (19)

2. 设计目的及要求 本次大作业中,我们选择了题目1:电子密码锁的设计。 2.1设计目的: 通过利用现有电路元件或自行设计元件,调动学习过(主要关于编码器、锁存器、环形计数器方面)的知识,独立完成从设计、选片、连线、调试、排除故障到实现一个数字密码锁的全过程,并书写实验报告,从而利用技术解决比较复杂的实际问题,提升综合能力。 2.2设计要求: 外部设计: 1)设计一个开锁密码为4位数字的密码锁,外观上有一个八位数字键盘,一个输入密码完成开关,一个开始修改密码开关,两个指示灯,一红一绿,另有置于密码锁内部的电源开关和清空输入次数开关; 用户使用: 2)使用者可以通过一个八位数字键盘输入密码,第一次按下数字开关后即开始计时,五秒内完成密码输入后,按开锁键即可校验密码; 3)如果密码正确,绿灯亮起,密码锁打开;如果密码错误,红灯亮起,密码锁不打开,使用者须继续输入密码; 4)如果使用者输入密码正确,还可以选择修改密码(但新密码只能使用真键设置),输入新密码完成后,按下清空输入次数开关即可让密码锁继续正常工作; 5)如果输入者连续两次输入错误密码,则密码锁内部锁死,即使输入正确密码也不能再次打开; 6)如果输入者没在五秒内完成密码输入,密码锁也将自动锁死; 内部实现: 7)键盘设置的八个数字键盘中,有四个是伪键,四个是真键,密码锁只能识别真键,所有伪键都只影响按键次数,而不提供实际信息; 8)密码锁的内部,输入的每位密码都将付诸比较(如果是伪键输入则比较结果恒为假),输入第四位或第八位密码并按下输入完成键后,输出总的比较结果; 9)第一次按动按钮即触发一个计时器,使用外部时钟源(每秒一脉冲),五秒后锁定密码锁,此后的输入都不会起效;

上半数字逻辑作业

上半数字逻辑作业 The document was prepared on January 2, 2021

2018年度上半年《数字逻辑》作业 1、将() 转换成二进制数,写出转换演算过程。 10 =余1=a00142余0=a1余1=a2余1=a3余1=a4数制间的转换解:∴(29) 10 练习 ×2=整数=0=×2=整数=1=a-2LSB即10=2由上两题可得10=2 10 2、详细写出组合逻辑电路的分析步骤组合逻辑电路的设计步骤 解: 分析步骤: 1.根据给定的逻辑图,从输入到输出逐级写出逻辑函数式; 2.用公式法或卡诺图发化简逻辑函数; 3由已化简的输出函数表达式列出真值表; 4从逻辑表达式或从真值表概括出组合电路的逻辑功能. 设计步骤: 1仔细分析设计要求,确定输入、输出变量. 2对输入和输出变量赋予0、1值,并根据输入输出之间的因果关系,列出输入输出对应关系表,即真值表. 3根据真值表填卡诺图,写输出逻辑函数表达式的适当形式. 4画出逻辑电路图. 并测试逻辑功能 3、试设计一个裁判表决器。假设在某举重比赛场上有三位裁判官,其中有一位主判官,两位副判官,当运动员举重完成后,由判官按下自己面前的按钮来决定该运动员这一把是否成功。若有两位或两位以上判官通过(其中一位必须是主判官),则表明这位运动员这一把成功。现试用逻辑电路来完成该表决器。 解: 一、确定输入、输出变量 ①输入变量:A、B、C ―――→三名评判员(其中A为主评判员) ②输出变量:Y ―――→灯 ③用正逻辑表示:A=1,表示同意,A=0表示判不同意; B=1,表示同意,B=0表示判不同意; C=1,表示同意,C=0表示判不同意. Y=1,表示灯亮,Y=0表示灯不亮. 二、列出真值表 输入变量输出变量 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 1

相关文档
相关文档 最新文档