文档库 最新最全的文档下载
当前位置:文档库 › 基本RS锁存器和D触发器-器件实验报告

基本RS锁存器和D触发器-器件实验报告

基本RS锁存器和D触发器-器件实验报告
基本RS锁存器和D触发器-器件实验报告

基本RS触发器和D触发器

一、实验目的:

熟悉几种常见触发器的逻辑功能,准确理解触发器特性描述和正确对其逻辑功能进行测试操作。熟练使用示波器来观看触发器的时序图。

二、实验内容:

1.搭接一个基本RS触发器,对其功能进行测试,填写基本RS触发器特性表。

2.对边缘D触发器74 LS74的逻辑功能进行测试,填写D触发器的特性表。

3.用D触发器实现计数功能和分频功能。

4.用4个D触发器设计一个4位的环形计数器。

三、实验条件:

1、硬件基础电学实验箱、双踪示波器、电源。

2、元器件:74LS00、74LS74、74LS175。

四、实验过程:

1、搭接一个基本RS触发器,对其功能进行测试。

a)实验原理:

基本RS触发器是由二个与非门交叉藕合构成的。基本RS触发器具有置"0"、置"1"

和"保持"三种功能。通常称s为置"1"端,因为s=0时触发器被置"1";R为置"0"端,因为R=0时触发器被置"0",当s= R=1时状态保持。基本RS触发器也可以用二个"或非门"组成,此时为高电平触发器。

b) 实验电路图:

c)RS触发器特性表:

S R Q n Q n+1

0 0 0 1

0 0 1 1

0 1 0 1

0 1 1 1

1 0 0 0

1 0 1 0

1 1 0 0

1 1 1 1

d)实验现象以及结论:

现象:当s=0时,r=1,结果置1。

当s=1时,r=0,结果置0。

当s=1时,r=1,次态与现态相同,即保持。

当s=0时,r=0,出现不稳定状态,如果连接Q'n+1,可以看到其与Qn+1的值相同,这个状态是要避免的。

结论:用74LS00搭接的基本RS触发器功能正确。

2、对边缘D触发器74 LS74的逻辑功能进行测试。

a)实验内容:

i.Clk—>Q的波形(500Khz的时钟信号)

ii.D—>Q的波形

iii.Clk—>D的波形(100Khz的时钟信号)

b)实验原理:

双上升沿D触发器(有预置端和清除端)的逻辑图:

c) 实验电路图:

d)实验现象以及结论:

i.Clk—>Q:ii.D—>Q: iii.Clk—>D:

Iiii.清零:Iiiii.置1

逻辑功能表:

PR CLR CLK D Q Q'

0 1 X X 1 0

1 0 X X 0 1

0 0 X X 1 1

1 1 ↑ 1 1 0

1 1 ↑0 0 1

1 1 ↑X Q0 Q'0

结论:74LS74逻辑功能测试正确。

3、用D触发器实现计数功能和分频功能。

a)实验原理:

用D触发器实现4分频电路:

(1)需要两个D触发器。因为输出的改变只在时钟上升沿,所以1个触发器是2分频,2个触发器实现4分频。

(2)第一个D触发器的输入时钟为需要分频的系统时钟,将该D触发器的输出取反,做该触发器的输入。这样才能保证每次遇到时钟上升沿,输出与上一次都是相反的,即频率减半。

(3)第二个触发器的时钟为第一个D触发器的输出,即Q。将第2个D触发器的输出取反做其输入。这一步与上相同,相当于将2个触发器串联,实现2分频再2分频。

b) 实验电路图:

c) 实验数据以及结论:

波形参

数最大值最小值峰峰值周期频率

脉冲宽

占空比

CH1 4.00v 0.00V 4.00V 2.000us 500000H

Z

1.000us 50%

CH2 4.00v 0.00V 4.00V 8.000us 125000H

Z

4.000us 50%

结论:用2个触发器实现了4分频的效果。

4、用4个D触发器设计一个4位的环形计数器。

a)实验原理:

环形计数器实现0000-0001-0010-0100-1000-0000的循环。

通过触发器将1一级级传下去,如果触发器输出全部都为0,则低位触发器置1。

当时钟处于上升沿时,D触发器如同透明,输出等于输入,相当于每一个触发器的次态等于上一级触发器的现态,所以1会一级级传上去。但是最前面的或非门控制了当不全为0时,低位输入始终控制在0上。这样保证电路上最多只有一个1。

b)实验电路:

基本RS触发器教案

题目:基本RS触发器教案学科:电子技术姓名:封士江 第一节基本RS触发器 [教学内容]:基本RS触发器。 [教学目标]:(1)了解基本RS触发器的电路组成。 (2)掌握基本RS触发器符号、含义及真值表。 (3)理解基本RS触发器的逻辑功能。 [教学重点]:(1)基本RS触发器符号、含义。 (2)基本RS触发器的真值表。 (3)基本RS触发器的逻辑功能。 [教学难点]:基本RS触发器的逻辑功能。 [课型]:新授课。 [教法]:讲述法。 [课时]:二课时。 教学过程 [组织教学]:精神饱满,维持纪律,开始上课。 [回顾总结]:上节课的最后我们对集成触发器做了简单的介绍,我们已经知道触发器是数字逻辑电路中的另一类基本单元电路。触发具备两种稳定 状态,这两种稳定状态可以分别代表二进制数码0和1。如果外加合 适的触发信号,触发器的状态可以相互转化。这种电路的特点是具 有记忆功能。 [引入课题]:利用集成门电路,可以组成各种触发器。今天我们就从基本RS触发器着手,着重学习触发器的组成和逻辑功能。 [板书]:基本RS 触发器 一.电路组成 将两个与非门的输入、输出交叉相连,组成一个基本RS触发器。 [口述]:如下图中(a)所示,图中G1的输出连到G2的输入端,门G2的输出又反过来送到门G1的输入端。其中/R、/S是两个输入端,Q、 /Q是两个输出端。 [板书]: (a)(b) 通常规定Q端的状态为触发器状态。

Q=0 /Q=1时,称触发器处于“0”态: Q=1 /Q=0时,称触发器处于“1”态。 逻辑功能(工作原理) /R=1,/S=1,触发器保持原来状态不变 [口述]:设电路原来状态为Q=0,/Q=1,既触发器为0态。因为G1的一个输入端Q=0,根据与非门“有0出1”的功能,它的输出/Q=1。而门G2 的二个输入端/S、/Q均为1,由与非门“全1出0”的功能,其输出 Q=0。触发器保持原来状态不变。 [互动]:下面我请一位同学来分析一下若原来状态是Q=1,/Q=0,触发器会出现什么样的状态?(学生互动环节过程省略) 结论:不论触发器原来是什么状态,基本RS触发器在/R=1 /S=1时总 保持原来的状态不变。这就是触发器的记忆功能。 [板书]:2./R =0,/S=1,触发器为0态 [口述]:此时,因/R=0,G1的输出/Q=1,而G2的两个输入端/S、/Q全为1,则输出Q=0。触发器为0态,并且与原来状态无关。(从电路组成图 上分析过程省略) [板书]:3./R=1,/S=0,触发器为1态 [口述]:由于/S=0,G2的输出Q=1。这时G1的两个输入端均为1,所以/Q=0。 触发器为1态,同样与原来的状态无关。(从电路组成图上分析过程 省略) [板书]:4./R=0,/S=0,触发器状态不定 [口述]: 这时,Q=1,/Q=1。破坏了前述有关Q与/Q互补的约定,是不允许的。 而且,当/R、/S的低电平触发信号消失后,Q与/Q的状态将是不确 定的。这种情况应当避免。 三.真值表 1.基本RS触发器的电路组成。 2.基本RS触发器的工作原理。 ○1/R=1,/S=1,触发器保持原来状态不变 ○2/R =0,/S=1,触发器为0态 ○3/R=1,/S=0,触发器为1态 ○4/R=0,/S=0,触发器状态不定 3.基本RS触发器的真值表。 五.作业 1.简述RS触发器的逻辑功能。(写到作业本上) 2.预习同步RS触发器的有关知识。

触发器功能的模拟实现实验报告-

武汉轻工大学数学与计算机学院 数字逻辑实验报告 学校:武汉轻工大学 院系:数学与计算机学院 班级:计算机类1304班 姓名:田恒 学号: 1305110089 指导老师:刘昌华 2014年12月10日

目录 1、实验名称 2、实验目的 3、实验原理 4、实验环境 5、实验内容 一、DFF仿真分析 二、“维持阻塞”型DFF仿真分析 三、思考练习 四、故障排除 五、实验总结

【实验名称】触发器功能的模拟实现 【实验目的】学习时序电路的设计,了解基本触发器的功能,利用QuartusII软件的原理图输入,设计一个钟控R-S触发器形成的D触发器和边沿触发型D触发器,并验证其功能。 【实验原理】(1)钟控R-S触发器,在时钟信号作用期间,当输入R、S同时为1时,触发器会出现状态不稳定现象。为了解决这个问题,对钟控R-S触发器的控制电路进行修改,用G4门的输出信号替换G3门的S输入信号,将剩下的输入R记作D,就形成只有一个输入端的D触发器。 (2)在上述D触发器的基础上增加“维持”、“阻塞”结构,从而形成“维持阻塞”型D触发器。

【实验环境】PC机(Windows xp,QuartusII) 【实验内容】QuartusII开发数字电路的设计流程完成DFF和“维持阻塞”型D触发器的原理设计输入,编译仿真和波形仿真。 一、DFF仿真分析: step1、启动QuartusII Step2、建立工作库目录文件夹以便设计工程项目的存储 Step3、输入设计:根据上部原理图完成原理图文件,截图如下: Step4、单击存盘命令新建工程 Step5、编译综合 Step6、仿真测试 Step7、仿真结果

触发器实验报告

实验3 触发器及其应用 一、实验目的 1、掌握基本RS、JK、D和T触发器的逻辑功能 2、掌握集成触发器的逻辑功能及使用方法 3、熟悉触发器之间相互转换的方法 二、实验原理 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图5-8-1为由两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称S为置“1”端,因为S=0(R=1)时触发器被置“1”;R为置“0”端,因为R=0(S=1)时触发器被置“0”,当S=R=1时状态保持;S=R=0时,触发器状态不定,应避免此 种情况发生,表5-8-1为基本RS触发器的功能表。 基本RS触发器。也可以用两个“或非门”组成,此时为高电平触发有效。 表5-8-1 图5—8—1 基本RS触发器 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚功能及逻辑符号如图5-8-2所示。 JK触发器的状态方程为 Q n+1=J Q n+K Q n J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组

成“与”的关系。Q与Q为两个互补输出端。通常把Q=0、Q=1的状态定为触发器“0”状态;而把Q=1,Q=0定为“1”状态。 图5-8-2 74LS112双JK触发器引脚排列及逻辑符号 下降沿触发JK触发器的功能如表5-8-2 表 注:×—任意态↓—高到低电平跳变↑—低到高电平跳变 Q n(Q n)—现态Q n+1(Q n+1 )—次态φ—不定态 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 3、D触发器 在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为 Q n+1=D n,其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器, 触发器的状态只取决于时钟到来前D端的状态,D触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。有很多种型号可供各种用途的需要而选用。如双 D 74LS74、四D 74LS175、六D 74LS174等。 图5-8-3 为双D 74LS74的引脚排列及逻辑符号。功能如表5-8-3。

基本RS触发器原理

基本RS 触发器原理 图4-1(a)是由两个“与非”门构成的基本R-S 触发器,(b)是其逻辑符号。RD 、SD 是两个输入端,Q 及y 是两个输出端。 正常工作时,触发器的Q 和y 应保持相反,因而触发器具有两个稳定状态: 1)Q=1,y=0。通常将Q 端作为触发器的状态。若Q 端处于高电平,就说触发器是1状态; 2)Q=0,y=1。Q 端处于低电平,就说触发器是0状态;Q 端称为触发器的原端或1端,y 端称为触发器的非端或0端。 由图4-1可看出,如果Q 端的初始状态设为1,RD 、SD 端都作用于高电平(逻辑 1),则y 一定为0。如果RD 、SD 状态不变,则Q 及y 的状态也不会改变。这是一个稳定状态;同理,若触发器的初始状态Q 为0而y 为1,在RD 、SD 为1的情况下这种状态也不会改变。这又是一个稳定状态。可见,它具有两个稳定状态。 输入与输出之间的逻辑关系可以用真值表、状态转换真值表及特征方程来描述。 图4 (一)真值表 R-S 触发器的逻辑功能,可以用输入、输出之间的逻辑关系构成一个真值表(或叫功能表)来描述。 1、当RD =0,SD=1时,不论触发器的初始状态如何,y 一定为1,由于“与非”门2的输入全是1,Q 端应为0。称触发器为0状态,RD 为置0端。 2、当RD =1,SD=0时,不论触发器的初始状态如何,Q 一定为1,从而使y 为0。称触发器为1状态,SD 置1端。 3、当RD =1,SD =1时,如前所述,Q 及y 状态保持原状态不变。 4、当RD =0,SD =0时,不论触发器的初始状态如何,Q=y=1,若RD 、SD 同时由0变成1,在两个门的性能完全一致的情况下, Q 及y 哪一个为1,哪一个为0是不定的,在应用时不允许RD 和SD 同时为0。 综合以上四种情况,可建立R-S 触发器的真值表于表1。应注意的是表中RD = SD =0的一行中Q 及y 状态是指RD 、SD 同时变为1后所处的状态是不定的,用Ф表示。 由于RD =0,SD =1时Q 为0,RD 端称为置0端或复位端。相仿的原因,SD 称置

触发器实验报告

. . . . .. . 实验报告 课程名称:数字电子技术基础实验 指导老师: 周箭 成绩:__________________ 实验名称:集成触发器应用 实验类型: 同组学生姓名:__邓江毅_____ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 实验内容和原理 1、D →J-K 的转换实验 设计过程:J-K 触发器和D 触发器的次态方程如下: J-K 触发器:n n 1 +n Q Q J =Q K +, D 触发器:Qn+1=D 若将D 触发器转换为J-K 触发器,则有:n n Q Q J =D K +。 实验结果: J K Qn-1 Qn 功能 0 0 0 0 保持 1 1 0 1 0 0 置0 1 0 1 1 0 1 翻转 1 0 1 0 1 置1 1 1 (上:Qn ,下:CP ,J 为高电平时) 2、D 触发器转换为T ’触发器实验 设计过程:D 触发器和T ’触发器的次态方程如下: D 触发器:Q n+1= D , T ’触发器:Q n+1=!Q n 若将D 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:D=!Qn 。 实验截图: 专业:电卓1501 姓名:卢倚平 学号:3150101215 日期:2017.6.01 地点:东三404

实验名称:集成触发器应用实验 姓名: 卢倚平 学号: 2 (上:Qn ,下:!Qn )CP 为1024Hz 的脉冲。 3、J-K →D 的转换实验。 ①设计过程: J-K 触发器:n n 1 +n Q Q J =Q K +, D 触发器:Qn+1=D 若将J-K 触发器转换为D 触发器,则二者的次态方程须相等,因此有:J=D ,K=!D 。 实验截图: (上:Qn ,下:CP ) (上:Qn ,下:D ) 4、J-K →T ′的转换实验。 设计过程: J-K 触发器:n n 1 +n Q Q J =Q K +, T ’触发器:Qn+1=!Qn 若将J-K 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:J=K=1 实验截图:

实验六 触发器实验报告

实验五 触发器实验报告 [实验目的] 1. 理解Oracle 触发器的种类和用途 2. 掌握行级触发器的编写 [预备知识] 1. PL/SQL 程序设计 [实验原理] 1. 建立触发器 CREA TE [OR REPLACE] TRIGGER <触发器名> BEFORE|AFTER INSERT|DELETE|UPDA TE OF <列名> ON <表名> [FOR EACH ROW] WHEN (<条件>) ON 子句中的名称识别与数据库触发器关联的数据库表 触发器事件指定了影响表的 SQL DML 语句 ( INSERT 、 DELETE 或 UPDA TE) AFTER 指定了触发器在处理完成后触发 BEFORE 指定了触发器在处理完成前触发 默认情况下,触发器每个表触发一次 FOR EACH ROW 选项指定触发器每行触发一次(即触发器为行级触发器) 要使触发器触发,WHEN 子句中布尔型表达式的值必须判定为 TRUE 可以将 REPLACE 添加到 CREA TE 语句以自动删除和重建触发器 2. 行级触发器中引用表数据 在行级触发器中,使用伪记录来表示旧数据:old 和新数据:new 引用示例::new.customer_name, :old.customer_name 3. 行级触发器中的谓词 在一个多条件触发的触发器中,使用谓词可以区分当前触发的操作的类型:触发事件 :old :new Insert 无定义,所有字段都是NULL 该语句完成后插入的值 Update 更新前该行的旧值 更新后该行的值 Delete 删除前该行的值 无定义,所有字段

数电实验触发器及其应用

数电实验触发器及其应用 数字电子技术实验报告 实验三: 触发器及其应用 一、实验目的: 1、熟悉基本RS触发器,D触发器的功能测试。 2、了解触发器的两种触发方式(脉冲电平触发和脉冲边沿触发)及触发特点 3、熟悉触发器的实际应用。 二、实验设备: 1 、数字电路实验箱; 2、数字双综示波器; 3、指示灯; 4、74LS00、74LS74。 三、实验原理: 1、触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序 电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即“0”和“ 1 ”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。触发器有集成触发器和门电路(主要是“与非门” )组成的触发器。 按其功能可分为有RS触发器、JK触发器、D触发器、T功能等触发器。触发方式有电平触发和边沿触发两种。 2、基本RS触发器是最基本的触发器,可由两个与非门交叉耦合构成。 基本RS触发器具有置“ 0”、置“ 1”和“保持”三种功能。基本RS触发器

也可以用二个“或非门”组成,此时为高电平触发有效。 3、D触发器在CP的前沿发生翻转,触发器的次态取决于CP脉冲上升沿n+1来到之前D端的状态,即Q = D。因此,它具有置“ 0”和“T两种功能。由于在CP=1期间电路具有阻塞作用,在CP=1期间,D端数据结构变RS化,不会影响触发器的输出状态。和分别是置“ 0”端和置“ 1” DD 端,不需要强迫置“ 0”和置“ 1”时,都应是高电平。74LS74(CC4013, 74LS74(CC4042均为上升沿触发器。以下为74LS74的引脚图和逻辑图。 馬LD 1CP 1云IQ LQ GM) 四、实验原理图和实验结果: 设计实验: 1、一个水塔液位显示控制示意图,虚线表示水位。传感器A、B被水浸沿时

触发器实验报告

实验报告 课程名称:数字电子技术基础实验 指导老师: 周箭 成绩:__________________ 实验名称:集成触发器应用 实验类型: 同组学生姓名:__邓江毅_____ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 实验内容和原理 1、D →J-K 的转换实验 设计过程:J-K 触发器和D 触发器的次态方程如下: J-K 触发器:n n 1+n Q Q J =Q K +, D 触发器:Qn+1=D 若将D 触发器转换为J-K 触发器,则有:n n Q Q J =D K +。 实验结果: J K Qn-1 Qn 功能 保持 1 1 0 1 置0 1 0 1 1 1 翻转 专业:电卓1501 姓名:卢倚平 学号: 日期:地点:东三

1 0 1 0 0 1 置1 1 1 实验截图: (上:Qn,下:CP,J为高电平时) 2、D 触发器转换为T’触发器实验 设计过程:D 触发器和T’触发器的次态方程如下: D 触发器:Q n+1= D , T’触发器:Q n+1=!Q n 若将D 触发器转换为T’触发器,则二者的次态方程须相等,因此有:D=!Qn。实验截图: (上:Qn,下:!Qn)CP为1024Hz 的脉冲。

3、J-K →D 的转换实验。 ①设计过程: J-K 触发器:n n 1+n Q Q J =Q K +, D 触发器:Qn+1=D 若将J-K 触发器转换为D 触发器,则二者的次态方程须相等,因此有:J=D ,K=!D 。 实验截图: (上:Qn ,下:CP ) (上:Qn ,下:D ) 4、J-K →T ′的转换实验。 设计过程: J-K 触发器:n n 1+n Q Q J =Q K +, T ’触发器:Qn+1=!Qn 若将J-K 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:J=K=1 实验截图:

实验四 触发器 实验报告

实验四触发器实验报告 徐旭东 11180243 物理112班 一、实验目的 1. 熟悉并掌握R-S、D、J-K触发器的特性和功能测试方法。 2. 学会正确使用触发器集成芯片。 3. 了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1. 实验仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件 74LS00 二输入端四与非门 1片 74LS74 双D触发器 1片 74LS76 双J-K触发器 1片 三、实验内容步骤及记录 1. 基本RS触发器功能测试: 两个TTL与非门首尾相接构成的基本RS触发器的电路。如图5.1所示。

(1)试按下面的顺序在S R 端加信号: d S =0 d R =1 d S =1 d R =1 d S =1 d R =0 d S =1 d R =1 观察并记录触发器的Q 、Q _ 端的状态,将结果填入 下表4.1中,并说明在上述各种输入状态下,RS 执行的是什么逻辑功能? 表4.1 d S d R Q 逻辑功能 0 1 1 1 1 1 0 1 1 1 0 0 0 0 1 1 置1 保持 置0 保持 (2)当d S 、d R 都接低电平时,观察Q 、Q _ 端的状态,当d S 、d R 同时由低电平跳为高电平时,注意观察Q 、Q _ 端的状态,重复3~5次看Q 、Q _ 端的状态是否相同,以正确理解“不定” 状态的含义。 结论: 当d S 、d R 都接低电平时,Q 和Q _ 端的状态不定。 2. 维持- 阻塞型D 触发器功能测试 双D 型正边沿维持-阻塞型触发器74LS74的逻辑符号如图4.2所示。 图中d S 、d R 端为异步置1端,置0端(或称异步置位,复位端),CP 为时钟脉冲端。试按下面步骤做实验: (1)分别在d S 、d R 端加低电平,观察并记录Q 、Q _ 端的状态。 (2)令d S 、d R 端为高电平,D 端分别接高,低电平,用点动脉 冲作为CP ,观察并记录当CP 为0、 、1、 时Q 端状态的变化。 图4.1 基本RS 触发器电 图4.2D 逻辑符号

实验六 触发器实验报告

实验六触发器实验报告 触发器实验报告 [实验目的]1、理解Oracle触发器的种类和用途2、掌握行级触发器的编写 [预备知识]1、 PL/SQL程序设计 [实验原理]1、建立触发器 CREATE [OR REPLACE] TRIGGER <触发器名> BEFORE|AFTER INSERT|DELETE|UPDATE OF <列名> ON <表名> [FOR EACH ROW] WHEN (<条件>) ON 子句中的名称识别与数据库触发器关联的数据库表触发器事件指定了影响表的 SQL DML 语句 ( INSERT、 DELETE 或 UPDATE) AFTER 指定了触发器在处理完成后触发 BEFORE 指定了触发器在处理完成前触发默认情况下,触发器每个表触发一次 FOR EACH ROW 选项指定触发器每行触发一次(即触发器为行级触发器)要使触发器触发,WHEN 子句中布尔型表达式的值必须判定为 TRUE 可以将 REPLACE 添加到 CREATE 语句以自动删除和重建触发器2、行级触发器中引用表数据在行级触发器中,使用伪记录来表示旧数据:old和新数据:new 触发事件 :old :new Insert 无定义,所有字段都是NULL该语句完成后插入的值 Update 更新前该行的旧值更新后该行的值 Delete 删除前该行的值无定

义,所有字段都是NULL引用示例::new、 customer_name, :old、customer_name3、行级触发器中的谓词在一个多条件触发的触发器中,使用谓词可以区分当前触发的操作的类型:inserting,updating,deleting。 示例: IF Inserting THEN 语句 ; END IF; IF Updating THEN 语句 ; END IF; IF Deleting THEN 语句 ; END IF;4、触发器的限制 SELECT 语句必须是 SELECT INTO 语句或内部游标声明。 行级触发器不可以对触发表进行查询,包括其调用的子过程中。 不允许 DDL 声明和事务控制语句。 如果由触发器调用存储子过程,则存储子程序不能包括事务控制语句。 :old 和 :new 值的类型不能是 LONG 和 LONG RAW。 [实验内容]1、给Customer表增加一列Savings,类型为int,来存放每个顾客的存款总额。A LTER TABLE customer ADD (saving varchar2(30));select * from customer;2、更新Customer表,使得Savings字段的值正确。 3、在Account表上增加一个行级触发器,当对account的balance进行update和insert一个记录时同步修改Customer的Savings字段,保证数据的一致性。

触发器的使用实验报告

实验II、触发器及其应用 一、实验目的 1、掌握基本RS、JK、D和T触发器的逻辑功能 2、掌握集成触发器的逻辑功能及使用方法 3、熟悉触发器之间相互转换的方法 二、实验原理 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 如图1为两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称为置“1” 段,因为=0(=1)时触发器被置为“1”;为置“0”端,因为=0(=1)时触发器被置“0”,当==1时状态保持;==0时,触发器状态不定,应避免此种情况发生,表1为基本RS 触发器的状态表。 图1、基本RS触发器 表1、基本RS触发器功能表 输入输出 0 1 1 0 1 0 0 1 1 1 0 0 不定不定 基本RS 2、JK触发器

在输入信号为双端的情况下,JK触发器的功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降沿出发的边沿触发器。引脚功能及逻辑符号如图2所示。 图2、74LS112双JK触发器引脚排列及逻辑符号 JK触发器的状态方程为:=J+ J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或者两个以上输入端时,组成“与”的关系。和为两个互补输出端。通常把=0,=1的状态定为触发器“0” 状态;而把=1,=0定为“1”状态。下降沿触发JK触发器功能表如表2所示。 表2、JK触发器功能表 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 3、D触发器 在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为=D,其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D端的状态,D触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。有很多种型号可供各种用途的需要而选用。如双D 74LS74、四D 74LS175、六D 74LS174等。 下图为双D774LS74的引脚排列及逻辑符号。功能表如表3.

基本RS触发器实验

第5章基本RS触发器 5.同步触发器(同步RS触发器) 目的与要求: 1 掌握时序电路的定义、分类、触发器的特点。 2 掌握基本RS触发器的电路结构、工作原理、逻辑功能。 3 掌握同步RS触发器的工作原理、逻辑功能。 4 掌握触发器逻辑功能的表示方法。 5 掌握时序电路的一些基本概念。 重点与难点:1 基本概念要正确建立。难点:现态、次态、不定状态的正确理解。 2 基本RS触发器的逻辑功能、触发方式。 5.1概述 一、触发器的概念 复习:组合电路的定义?构成其电路的门电路有何特点?组合电路与时序电路的区别? 门电路:在某一时刻的输出信号完全取决于该时刻的输入信号,没有记忆作用。 触发器:具有记忆功能的基本逻辑电路,能存储二进制信息(数字信息)。 触发器有三个基本特性: (1)有两个稳态,可分别表示二进制数码0和1,无外触发时可维持稳态; (2)外触发下,两个稳态可相互转换(称翻转),已转换的稳定状态可长期保持下来,这就使得触发器能够记忆二进制信息,常用作二进制存储单元。 (3)有两个互补输出端,分别用Q和Q 二、触发器的逻辑功能描述: 特性表、激励表(又称驱动表)、特性方程、状态转换图和波形图(又称时序图) 三、触发器的分类:根据 逻辑功能不同:RS触发器、D触发器、JK触发器、T触发器和触发器等。 触发方式不同:电平触发器、边沿触发器和主从触发器等。 电路结构不同:基本RS触发器,同步触发器、维持阻塞触发器、主从触发器和边沿触发器等。 5.2 触发器的基本形式 5.2.1 基本RS触发器 一、由与非门组成的基本RS触发器 1.电路结构 电路组成:两个与非门输入和输出交叉耦合(反馈延时)。逻辑图如图(a)所示。 逻辑符号如图(b)所示。

数据库存储器与触发器实验报告(互联网+)

南昌航空大学实验报告 二0一七年 5 月 3 日 课程名称:数据库概论实验名称:存储器与触发器 班级:xxx 姓名:xxx 同组人: 指导教师评定:签名: 一、实验环境 1.Windows2000或以上版本; 2.SQLServer2000或2005。 二、实验目的 1.掌握存储过程的创建,修改,使用,删除; 2.掌握触发器的创建,修改,使用,删除。 三、实验步骤及参考源代码 1.创建过程代码: CREATE PROCEDURE C_P_Proc(@ccna varchar(10),@cno char(4)OUTPUT,@cna varchar(10)OUTPUT,@pna varchar(20)OUTPUT,@num int OUTPUT) AS SELECT @cna=cna,@cno=https://www.wendangku.net/doc/2012794744.html,o,@pna=pna,@num=num FROM cp,customer,paper WHERE https://www.wendangku.net/doc/2012794744.html,o=https://www.wendangku.net/doc/2012794744.html,o AND paper.pno=cp.pno AND cna=@ccna;

6.执行存储过程C_P_Pro,实现对李涛,钱金浩等不同顾客的订阅信息查询execute C_P_Proc@name='李涛' execute C_P_Proc@name='钱金浩' 7,删除存储过程C_P_Prcc DROP PROCEDURE C_P_PROC (4)在DingBao数据库中针对PAPER创建插入触发器TR_PA PER_I、删除触发器TR_PAPER_D、修改触发器TR_PAPER_U。具体要求如下。

<1>对PAPER的插入触发器:插入报纸记录,单价为负值或为空时,设定为10元。 CREATE TRIGGER TR_PAPER_I ON paper FOR INSERT AS DECLARE @ippr FLOAT; declare @ipno int; SELECT @ippr=ppr,@ipno=pno from inserted begin if @ippr<0 or @ippr is NULL begin raiserror('报纸的单价为空或小于零!',16,1) update paper set ppr=10 where paper.pno=@ipno end

触发器及其应用实验报告 - 图文-

实验报告 一、实验目的和任务 1. 掌握基本RS、JK、T和D触发器的逻辑功能。 2. 掌握集成触发器的功能和使用方法。 3. 熟悉触发器之间相互转换的方法。 二、实验原理介绍 触发器是能够存储1位二进制码的逻辑电路,它有两个互补输出端,其输出状态不仅与输入有关,而且还与原先的输出状态有关。触发器有两个稳定状态,用以表示逻辑状态"1"和"0飞在二定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图14-1为由两个与非门交叉祸合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。 基本RS触发器具有置"0"、置"1"和保持三种功能。通常称s为置"1"端,因为 s=0时触发器被置"1"; R为置"0"端,因为R=0时触发器被置"0"。当S=R=1时状态保持,当S=R=0时为不定状态,应当避免这种状态。

基本RS触发器也可以用两个"或非门"组成,此时为高电平有效。 S Q S Q Q 卫R Q (a(b 图14-1 二与非门组成的基本RS触发器 (a逻辑图(b逻辑符号 基本RS触发器的逻辑符号见图14-1(b,二输入端的边框外侧都画有小圆圈,这是因为置1与置。都是低电平有效。 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚逻辑图如图14-2所示;JK触发器的状态方程为: Q,,+1=J Q"+K Q 3 5

J Q CLK K B Q 图14-2JK触发器的引脚逻辑图 其中,J和IK是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成"与"的关系。Q和Q为两个互补输入端。通常把Q=O、Q=1的状态定为触发器"0"状态;而把Q=l,Q=0 定为"}"状态。 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 CC4027是CMOS双JK触发器,其功能与74LS112相同,但采用上升沿触发,R、S端为高电平

触发器实验报告

触发器实验报告 集团标准化工作小组 #Q8QGGQT-GX8G08Q8-GNQGJ8-MHHGN#

实验报告 课程名称:数字电子技术基础实验 指导老师: 周箭 成绩:__________________ 实验名称:集成触发器应用 实验类型: 同组学生姓名:__邓江毅_____ 一、实验目的和要求(必填) 二、实验内容和原 理(必填) 三、主要仪器设备(必填) 四、操作方法和实 验步骤 五、实验数据记录和处理 六、实验结果与分 析(必填) 七、讨论、心得 实验内容和原理 1、D →J-K 的转换实验 设计过程:J-K 触发器和D 触发器的次态方程如下: J-K 触发器:n n 1+n Q Q J =Q K +, D 触发器:Qn+1=D 若将D 触发器转换为J-K 触发器,则有:n n Q Q J =D K +。 实验结果: J K Qn-1 Qn 功能 0 0 0 0 保持 1 1 0 1 0 0 置0 1 0 1 1 0 1 翻转 1 0 1 0 1 置1 1 1 实验截图: 专业:电卓1501 姓名:卢倚平 学号: 日期:地点:东三404

(上:Qn ,下:CP ,J 为高电平时) 2、D 触发器转换为T ’触发器实验 设计过程:D 触发器和T ’触发器的次态方程如下: D 触发器:Q n+1= D , T ’触发器:Q n+1=!Q n 若将D 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:D=!Qn 。 实验截图: (上:Qn ,下:!Qn )CP 为1024Hz 的脉冲。 3、J-K →D 的转换实验。 ①设计过程: J-K 触发器:n n 1+n Q Q J =Q K , D 触发器:Qn+1=D 若将J-K 触发器转换为D 触发器,则二者的次态方程须相等,因此有:J=D ,K=!D 。 实验截图:

实验五计数器的设计实验报告

实验五计数器的设计——实验报告 邱兆丰 15331260 一、实验目的和要求 1.熟悉JK触发器的逻辑功能。 2.掌握用JK触发器设计同步计数器。 二、实验仪器及器件 1、实验箱、万用表、示波器、 2、74LS73,74LS00,74LS08,74LS20 三、实验原理 1.计数器的工作原理 递增计数器----每来一个CP,触发器的组成状态按二进制代码规律增加。递减计数器-----按二进制代码规律减少。 双向计数器-----可增可减,由控制端来决定。 2.集成J-K触发器74LS73 ⑴符号: 图1 J-K触发器符号

⑵功能: 表1 J-K触发器功能表 ⑶状态转换图: 图2 J-K触发器状态转换图

⑷特性方程: ⑸注意事项: ①在J-K触发器中,凡是要求接“1”的,一定要接高电平(例如5V),否则会出现错误的翻转。 ①触发器的两个输出负载不能过分悬殊,否则会出现误翻。 ② J-K触发器的清零输入端在工作时一定要接高电平或连接到实验箱的清零端子。3.时序电路的设计步骤 内容见实验预习。 四、实验内容 1.用JK触发器设计一个16进制异步计数器,用逻辑分析仪观察CP和各输出波形。2.用JK触发器设计一个16进制同步计数器,用逻辑分析仪观察CP和各输出波形。3.设计一个仿74LS194 4.用J-K触发器和门电路设计一个特殊的12进制计数器,其十进制的状态转换图为:5.考虑增加一个控制变量D,当D=0时,计数器按自定义内容运行,当D=1时,反方向运行 五、实验设计及数据与处理 实验一

16进制异步计数器 设计原理:除最低级外,每一级触发器用上一级触发器的输出作时钟输入,JK都接HIGH,使得低一级的触发器从1变0时高一级触发器恰好接收下降沿信号实现输出翻转。实验二 16进制同步计数器 设计原理:除最低级外,每一级的JK输入都为所有低级的输出的“与”运算结果实验三 仿74LS194 设计原理:前两个开关作选择端输入,下面四个开关模仿预置数输入,再下面两个开关模仿左移、右移的输入,最后一个开关模仿清零输入。四个触发器用同一时钟输入作CLK输入。用2个非门与三个与门做成了一个简单译码器。对于每一个触发器,JK输入总为一对相反值,即总是让输入值作为输出值输入。对于每一个输入,当模式“重置”输出为1时,其与预置值结果即触发器输入;当模式“右移”、“左移”输出为1时,其值为上一位或下一位对应值;当各模式输出均为0时各触发器输入为0,使输出为0。 实验四 设计原理: 在12进制同步计数器中,输出的状态只由前一周期的状态决定,而与外来输入无关,因此目标电路为Moore型。而数字电路只有0和1两种状态,因此目标电路要表达12种状态需

D触发器及其应用实验报告

实验五D触发器及其应用 实验人员:班号:学号: 一、实验目的 1、熟悉D触发器的逻辑功能; 2、掌握用D触发器构成分频器的方法; 3、掌握简单时序逻辑电路的设计 二、实验设备 74LS00 ,74LS74,数字电路实验箱,数字双踪示波器,函数信号发生器 三、实验内容 1、用74LS74(1片)构成二分频器、四分频器,并用示波器观察波形; 74LS74是双D触发器(上升沿触发的边沿D触发器),其管脚图如下: 其功能表如下: ○1构成二分频器:用一片74LS74即可构成二分频器。实验电路图如下:

○2构成四分频器:需要用到两片74LS74。实验电路图如下: 2、实现如图所示时序脉冲(用74LS74和74LS00各1片来实现) 将欲实现功能列出真值表如下:

Q 1n+1=Q 0n =D 1 Q 0n+1=Q 1n ????=D 0 F ′=Q 1n Q 0n ???? F =F ′?CP 连接电路图如下: 四、实验结果 1、用74LS74(1片)构成二分频器、四分频器。示波器显示波形如下: ○ 1二分频器: ○ 2四分频器:

2、实现时序脉冲。示波器显示波形如下: 五、故障排除 在做“用74LS74(1片)构成二分频器、四分频器”时,连接上示波器后,发现通道二总显示的是类似于电容放电的波形,但表现出了二分频。反复排查问题均没有发现原因。最后换了一根连接示波器的线,便得到了理想的结果。 在示波器使用时想要用U盘保存电路波形,不会操作。后来在询问了同学之后才知道只需要按“print”就好。 六、心得体会 通过此次实验,我更深入地领悟了触发器的原理和用法,还复习了示波器的用法,还学会了如何保存示波器波形。

触发器——实验报告

触发器 20100810410 计科四班阚琛琛 【实验内容】 1.搭接一个基本RS触发器,并对其功能进行测试,填写基本RS触发器的特性表; 2.对边缘D触发器74LS74的逻辑功能进行测试,并在示波器上显示波形图像; 3.测试D触发器的异步清零和置一功能; 4.用D触发器实现四分频。 【实验环境】 74LS00; 74LS74; 实验箱: 示波器; 导线; 【实验过程】 1.用与非门构造RS锁存器 电路说明:一个74LS00芯片,引脚连接:14接VCC,7接GND,1接逻辑电平,2接4,3接输出,5接逻辑电平,6接输出,如图所示: 控制逻辑电平以及现态的高低,得到输出关系如下: 则根据上述关系,可以得出RS锁存器的特征方程:Qn+1=S+R’Qn; 2.测试D触发器的逻辑功能 电路说明:1D接100KHZ脉冲,时钟信号接500KHZ,引脚14接VCC,7接GND,如图所示:

再将数据输入端和输出接在示波器上的两个通道,显示波形如图: 3.测试D触发器的异步清零和置一功能 电路说明:1D接100KHZ脉冲,时钟信号接500KHZ,引脚14接VCC,7接GND,直接置位和直接清零接逻辑电平,如图所示: 将输出接在示波器上,调整PRN和CLRN的逻辑电平,得到图形: 清零:

置一: 4.用D触发器实现四分频 设计实验电路如图: 图形说明:由于D触发器是在上升沿触发,则将输出的Q’接在数据输入端,则输出端Q即为时钟信号的二分,一次类推,再接一个D触发器,则输出端即为时钟信号的四分。 将时钟信号和输出接在示波器上,如图:

【实验总结】 1.要听清老师的要求,否则狠容易丢失数据,在清零置一的测试中就没有接数据输入端与 输入进行对照,只有输出的图形; 2.在实验前要设计好电路图,能达到事半功倍的效果; 3.在读数的时候要注意一小格和一个刻度代表多少,否则狠容易读错。 【实验心得】 对于示波器的使用应该加强,要将图形处理成想要的形状给如何调整要熟知。

触发器实验报告

深圳大学实验报告 课程名称: 学院:计算机与软件学院 实验时间: 实验报告提交时间: 教务部制 篇二:触发器及其应用实验报告 学生实验报告 篇三:电子技术实验报告5-触发器及其应用 学生实验报告 (转载于:触发器实验报告) 篇四:数字逻辑实验-触发器实验报告 本科学生综合性实验报告 学号 124100335 姓名王昌杰学院信息学院专业、班级 12e 实验课程名称数字逻辑与数字系统教师及职称王坤开课学期学期填报时间年 云南师范大学教务处编印 篇五:触发器 r-s、d 、j-k 实验报告(有数据) 实验五触发器 r-s、d 、j-k 一、实验目的 1、熟悉并掌握r-s、d、j-k 触发器的构成,工作原理和功能测试方法; 2、学会正确 使用触发器集成芯片; 3、了解不同逻辑功能触发器相互转换的方法。 二、实验仪器及器件 1、双踪示波器 2、实验用元器件 74ls00 1 片 74ls74 双d 型触发器 1 片 74ls112 双j-k 触发器 1 片 三、实验内容及结果分析 1、基本r-sff 功能测试 将两个ttl 与非门首尾相接构成基本r-sff 电路如图4.1 所示。 (1) 按下面的顺序在 sd、rd端加信号: 观察并记录ff 的q、q端的状态,将结果填入表4.1 中,并说明在上述各种输入状态下, ff执行的是什么功能? 表 4.1 表 4.2 (2) sd端接低电平, rd端加脉冲(手动单脉冲)。(3) sd端接高电平, rd端加 脉冲(手动单脉冲)。(4)连接sd、rd ,并加脉冲(手动单脉冲)。 观察(2)、(3)、(4)三种情况下,q、q端的状态。见表4.2 总结基本r-s ff 的q 或 q端的状态改变和输入端sd、rd的关系。 q=r+q、q=s+q (5)当sd=rd=0 时,观察q、q端的状态。此时使sd、rd同时由低电平跳为高电平时, 注意观察q、 q端的状态,重复3~5 次看q、q端的状态是否相同,以正确理解“不定”状态的含义。 2、维持—阻塞型d 触发器功能测试 双d型正边沿维持—阻塞型触发器74ls74的逻辑符号如图4.2 所示。 (1) 在sd、rd 端加低电平,观察并记录q、q端的状态。 (2) 在sd、rd端加高电平,d 端分别接高、低电平,用点动脉冲作为cp,观察并记录

基本RS触发器工作原理

基本RS触发器工作原理 基本RS触发器工作原理 基本RS触发器的电路如图1(a)所示。它是由两个与非门,按正反馈方式闭合而成,也可以用两个或非门按正反馈方式闭合而成。图(b)是基本RS触发器逻辑符号。基本RS触发器也称为闩锁(Latch)触发器。 (a) (b) 图1 基本RS触发器电路图和逻辑符号 定义A门的一个输入端为R d端,低电平有效,称为直接置“0”端,或直接复位端(Reset),此时S d端应为高电平;B门的一个输入端为S d端,称为直接置“1”端,或直接置位端(Set),此时R d端应为高电平。我们定义一个与非门的输出端为基本RS触发器的输出端Q ,图中为B门的输出端。另一个与非门的输出端为Q 端,这两个端头的状态应该相反。因基本RS触发器的电路是对称的,定义A门的输出端为Q端,还是定义B门的输出端为Q端都是可以的。一旦Q端确定,R d和S d端就随之确定,再不能任意更改。 2 两个稳态 这种电路结构,可以形成两个稳态,即 Q=1,Q=0,Q=0,Q =1 当Q=1时,Q=1和R d=1决定了A门的输出,即Q=0 ,Q=0反馈回来又保证了Q=1 ;当Q=0时,Q=1,Q=1和S d=1决定了B门的输出,即Q=0,Q=0又保证了Q =1 。 在没有加入触发信号之前,即R d和S d端都是高电平,电路的状态不会改变。 3 触发翻转 电路要改变状态必须加入触发信号,因是与非门构成的基本RS触发器,所以,触发信号是低电平有效。若是由或非门构成的基本RS触发器,触发信号是高电平有效。

R d和S d是一次信号,只能一个一个的加,即它们不能同时为低电平。 在R d端加低电平触发信号,R d =0,于是Q =1 ,Q =1和S d=1决定了Q=0 ,触发器置“0”。R d是置“0”的触发器信号。 Q=0以后,反馈回来就可以替代R d=0的作用,R d=0就可以撤消了。所以,R d不需要长时间保留,是一个触发器信号。 在S d端加低电平触发信号,S d=0,于是Q=1 ,Q=1和R d=1决定了Q=0 ,触发器置“1”。但Q=0 反馈回来,S d=0才可以撤消,S d是置“1”的触发器信号。 如果是由或非门构成的基本RS触发器,触发信号是高电平有效。此时直接置“0”端用符号Rd;直接置“1”端用符号Sd。 4 真值表和特征方程 以上过程,可以用真值表来描述,见上表。表中的Q n和Q n表示触发器的现在状态,简称现态;Qn+1和Qn+1表示触发器在触发脉冲作用后输出端的新状态,简称次态。对于新状态Qn+1而言,Qn也称为原状态。 上表真值表表中Qn=Qn+1表示新状态等于原状态,即触发器没有翻转,触发器的状态保持不变。必须注意的是,一般书上列出的基本RS触发器的真值表中,当R d =0、S d=0时,Q 的状态为任意态。这是指当R d、S d同时撤消时,Q端状态不定。若当R d=0、S d =0时,Q =1,状态都为“1”,是确定的。但这一状态违背了触发器Q端和Q端状态必须相反的规定,是不正常的工作状态。若R d、S d不同时撤消时,Q端状态是确定的,但若R d、S d同时撤消时,Q端状态是不确定的。由于与非门响应有延迟,且两个门延迟时间不同,这时哪个门先动做了,触发器就保持该状态,这一点一定不要误解。但具体可见例1 。 把上表所列逻辑关系写成逻辑函数式,则得到

相关文档