文档库 最新最全的文档下载
当前位置:文档库 › 电子技术基础 作业题10

电子技术基础 作业题10

电子技术基础  作业题10
电子技术基础  作业题10

电子技术基础作业题10

网络收集仅供参考没有讲过的内容可以不做。

一、填空题:(每空0.5分,共33分)

1、时序逻辑电路按各位触发器接受时钟脉冲控制信号的不同,可分为同步时序逻辑电路和异步时序逻辑电路两大类。在异步时序逻辑电路中,各位触发器无统一的时钟脉冲控制信号,输出状态的变化通常不是同一时刻发生的。

2、根据已知的逻辑电路,找出电路的输入和其现态及输出之间的关系,最后总结出电路逻辑功能的一系列步骤,称为时序逻辑电路的分析。

3、当时序逻辑电路的触发器位数为n,电路状态按二进制数的自然态序循环,经历的独立状态为2n个,这时,我们称此类电路为二进制计数器。二进制计数器除了按同步、异步分类外,按计数的加减规律还可分为加计数器、减计数器和可逆计数器。

4、在十进制计数器中,要表示一位十进制数时,至少要用四位触发器才能实现。十进制计数电路中最常采用的是8421 BCD代码来表示一位十进制数。

5、时序逻辑电路中仅有存储记忆电路而没有逻辑门电路时,构成的电路类型通常称为莫尔型时序逻辑电路;如果电路中不但除了有存储记忆电路的输入端子,还有逻辑门电路的输入时,构成的电路类型称为米莱型时序逻辑电路。

6、分析时序逻辑电路时,首先要根据已知逻辑的电路图分别写出相应的驱动方程、输出方程和次态方程,若所分析电路属于异步时序逻辑电路,则还要写出各位触发器的时钟脉冲方程。

7、时序逻辑电路中某计数器中的无效码,若在开机时出现,不用人工或其它设备的干预,计数器能够很快自行进入有效循环体,使无效码不再出现的能力称为自启动能力。

8、在分频、控制、测量等电路中,计数器应用得非常广泛。构成一个六进制计数器最少要采用三位触发器,这时构成的电路有6个有效状态,2个无效状态。

9、寄存器可分为数码寄存器和移位寄存器,集成74LS194属于双向移位寄存器。用四位移位寄存器构成环行计数器时,有效状态共有4个;若构成扭环计数器时,其有效状态是8个。

10、寄存器是可用来存放数码、运算结果或指令的电路,通常由具有存储功能的多位触发器组合起来构成。一位触发器可以存储1个二进制代码,存放n个二进制代码的寄存器,需用n位触发器来构成。

11、74LS194是典型的四位TTL型集成双向移位寄存器芯片,具有左移和右移、并行输入、保持数据和清除数据等功能。

12、555定时器可以构成施密特触发器,施密特触发器具有回差特性,主要用于脉冲波形的整形和变换;555定时器还可以用作多谐振荡器和单稳态触发器。单稳态触发器只有一个暂稳态、一个稳态,当外加触发信号作用时,单稳态触发器能够从稳态翻转到暂稳态,经过一段时间又能自动返回到稳态,

13、用集成计数器CC40192构成任意进制的计数器时,通常可采用反馈预置法和反馈清零法。

二、判断题(每小题1分,共10分)

1、集成计数器通常都具有自启动能力。(对)

2、使用3个触发器构成的计数器最多有8个有效状态。(对)

3、同步时序逻辑电路中各触发器的时钟脉冲CP不一定相同。(错)

4、利用一个74LS90可以构成一个十二进制的计数器。(错)

5、用移位寄存器可以构成8421BCD码计数器。(错)

6、555电路的输出只能出现两个状态稳定的逻辑电平之一。(对)

7、施密特触发器的作用就是利用其回差特性稳定电路。(错)

8、莫尔型时序逻辑电路,分析时通常不写输出方程。(对)

9、十进制计数器是用十进制数码“0~9”进行计数的。(错)

10、利用集成计数器芯片的预置数功能可获得任意进制的计数器。(对)

三、选择题(每小题2分,共20分)

1、描述时序逻辑电路功能的两个必不可少的重要方程式是(B)。

A、次态方程和输出方程

B、次态方程和驱动方程

C、驱动方程和时钟方程

D、驱动方程和输出方程

2、用8421BCD码作为代码的十进制计数器,至少需要的触发器触发器个数是(C)。

A、2

B、3

C、4

D、5

3、按各触发器的状态转换与时钟输入CP的关系分类,计数器可分(A)计数器。

A、同步和异步

B、加计数和减计数

C、二进制和十进制

4、能用于脉冲整形的电路是(C)。

A、双稳态触发器

B、单稳态触发器

C、施密特触发器

5、四位移位寄存器构成的扭环形计数器是(B)计数器。

A、模4

B、模8

C、模16

6、下列叙述正确的是(D)

A、译码器属于时序逻辑电路

B、寄存器属于组合逻辑电路

C、555定时器属于时序逻辑电路

D、计数器属于时序逻辑电路

7、利用中规模集成计数器构成任意进制计数器的方法是(B)

A、复位法

B、预置数法

C、级联复位法

8、不产生多余状态的计数器是(A)。

A、同步预置数计数器

B、异步预置数计数器

C、复位法构成的计数器

9、数码可以并行输入、并行输出的寄存器有(C)

A、移位寄存器

B、数码寄存器

C、二者皆有

10、改变555定时电路的电压控制端CO的电压值,可改变(C)

A、555定时电路的高、低输出电平

B、开关放电管的开关电平

C、比较器的阈值电压

D、置“0”端R的电平值

四、简述题(,每小题3分,共12分)

1、说明同步时序逻辑电路和异步时序逻辑电路有何不同?

答:同步时序逻辑电路的各位触发器是由同一个时钟脉冲控制的;异步时序逻辑电路的各位触发器的时钟脉冲控制端各不相同,状态发生变化的时间通常也不相同。

2、钟控的RS 触发器能用作移位寄存器吗?为什么?

答:移位寄存器除寄存数据外,还能将数据在寄存器内移位,因此钟控的RS 触发器不能用做这类寄存器,因为它具有“空翻”问题,若用于移位寄存器中,很可能造成一个CP 脉冲下多次移位现象。用作移位寄存器的触发器只能是克服了“空翻”现象的边沿触发器。

3、何谓计数器的自启动能力?

答:所谓自启动能力:指时序逻辑电路中某计数器中的无效状态码,若在开机时出现,不用人工或其它设备的干预,计数器能够很快自行进入有效循环体,使无效状态码不再出现的能力。

4、施密特触发器具有什么显著特征?主要应用有哪些?

答:施密特触发器的显著特征有两个:一是输出电压随输入电压变化的曲线不是单值的,具有回差特性;二是电路状态转换时,输出电压具有陡峭的跳变沿。利用施密特触发器的上述两个特点,可对电路中的输入电信号进行波形整形、波形变换、幅度鉴别及脉冲展宽等。

五、分析题(共25分)

1、试用74LS161集成芯片构成十二进制计数器。要求采用反馈预置法实现。(7分)

2、电路及时钟脉冲、输入端D 的波形如图7-313所示,设起始状态为“000”。试画出各触发器的输出时序图,并说明电路的功能。(10分)

解:分析:(1)电路为同步的米莱型时序逻辑电路;

(2)各触发器的驱动方程:J 1=D K 1

J 2=Q 1n K

1n K 3各触发器的次态方程:n n D Q =+11 n n Q Q 112=+

D 图7-31 检测题7.5.2逻辑图 Q 3

CP D

3、已知计数器的输出端Q 2、Q 1、Q 0的输出波形如图7-32所示,试画出对应的状态转换图,并分析该计数器为几进制计数器。(8分)

解:状态转换关系为:101→010→011→000→100→001→110。该计数器为七进制计数器。

Q 0Q 1Q 2CP 图7-32 检测题7.5.3时序波形图

电子技术基础考试试题及参考答案

电子技术基础考试试题及参考答案 试题 一、填空题(每空1分,共30分) 1.硅二极管的死区电压为_____V,锗二极管的死区电压为_____V。 2.常用的滤波电路主要有_____、_____和_____三种。 3.晶体三极管的三个极限参数为_____、_____和_____。 4.差模信号是指两输入端所施加的是对地大小_____,相位_____的信号电压。 5.互补对称推挽功率放大电路可分成两类:第一类是单电源供电的,称为_____电路,并有_____通过负载输出;第二类是双电源供电的,称为_____电路,输出直接连接负载,而不需要_____。 6.功率放大器主要用作_____,以供给负载_____。 7.集成稳压电源W7905的输出电压为_____伏。 8.异或门的逻辑功能是:当两个输入端一个为0,另一个为1时,输出为_____;而两个输入端均为0或均为1时,输出为_____。 9.(1111)2+(1001)2=( _____ )2(35)10=( _____ )2 (1010)2–(111)2=( _____ )2(11010)2=( _____ )10 (1110)2×(101)2=( _____ )2 10.逻辑函数可以用_____、_____、_____等形式来表示。 11.组合逻辑电路包括_____、_____、_____和加法器等。 二、判断题(下列各题中你认为正确的,请在题干后的括号内打“√”,错误的打“×”。全打“√”或全打“×”不给分。每小题1分,共10分) 1.放大器采用分压式偏置电路,主要目的是为了提高输入电阻。() 2.小信号交流放大器造成截止失直的原因是工作点选得太高,可以增大R B使I B减小,从而使工作点下降到所需要的位置。() 3.对共集电极电路而言,输出信号和输入信号同相。() 4.交流放大器也存在零点漂移,但它被限制在本级内部。() 5.同相运算放大器是一种电压串联负反馈放大器。() 6.只要有正反馈,电路就一定能产生正弦波振荡。() 7.多级放大器采用正反馈来提高电压放大倍数。() 8.TTL集成电路的电源电压一般为12伏。() 9.流过电感中的电流能够突变。() 10.将模拟信号转换成数字信号用A/D转换器,将数字信号转换成模拟信号用D/A转换器。() 三、单选题(在本题的每小题备选答案中,只有一个答案是正确的,请把你认为正确答案的代号填入题干后的括号内,多选不给分。每小题2分,共26分) 1.用万用表测得某电路中的硅二极管2CP的正极电压为2V,负极电压为1.3V,则此二极管所处的状态是() A.正偏B.反偏C.开路D.击穿 2.放大器的三种组态都具有() A.电流放大作用B.电压放大作用 C.功率放大作用D.储存能量作用 3.下列各图中,三极管处于饱和导通状态的是()

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

模拟电子技术基础实验思考题

低频电子线路实验思考题 实验一常用电子仪器的使用(P6) 1.什么是电压有效值?什么是电压峰值?常用交流电压表的电压测量值和示波器的电压直接测量值有什么不同? 答:电压峰值是该波形中点到最高或最低之间的电压值;电压有效值等于它的瞬时值的平方在一个周期内职分的平均值再取平方根。 常用交流电压表的电压测量值一般都为有效值,而示波器的电压直接测量都为峰值。 2.用示波器测量交流信号的峰值和频率,如何尽可能提高测量精度?答:幅值的测量:Y轴灵敏度微调旋钮置于校准位置,Y轴灵敏度开关置于合适的位置即整个波形在显示屏的Y轴上尽可能大地显示,但不能超出显示屏指示线外。频率测量:扫描微调旋钮置于校准位置,扫描开关处于合适位置即使整个波形在X轴上所占的格数尽可能接近10格(但不能大于10格)。 实验二晶体管主要参数及特性曲线的测试(P11) 1.为什么不能用MF500HA型万用表的R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值? 答:根据MF500HA型万用表的内部工作原理,可知R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值的等效电路分别为图1和图2所示,此时流过二极管的最大电流,,当I D1和I D2大于该二极管的工作极限电流时就会使二极管损坏。

图1 图2 2. 用MF500HA型万用表的不同量程测量同一只二极管的正向电阻值,其结果不同,为什么? 提示:根据二极管的输入特性曲线和指针式万用表Ω档的等效电路,结合测试原理分析回答。 答:R×1Ω:r o=9.4Ω; R×10Ω: r o=100Ω; R×100Ω: r o=1073Ω; R×1kΩ: r o=32kΩ。因为二极管工作特性为正向导通、反向截至,尤其是正向导通的输入特性曲线为一条非线性曲线。用MF500HA型万用表

电子技术基础习题答案(优.选)

第1章检测题(共100分,120分钟) 一、填空题:(每空0.5分,共25分) 1、N型半导体是在本征半导体中掺入极微量的五价元素组成的。这种半导体内的多数载流子为自由电子,少数载流子为空穴,不能移动的杂质离子带正电。P型半导体是在本征半导体中掺入极微量的三价元素组成的。这种半导体内的多数载流子为空穴,少数载流子为自由电子,不能移动的杂质离子带负电。 2、三极管的内部结构是由发射区、基区、集电区区及发射结和集电结组成的。三极管对外引出的电极分别是发射极、基极和集电极。 3、PN结正向偏置时,外电场的方向与内电场的方向相反,有利于多数载流子的 扩散运动而不利于少数载流子的漂移;PN结反向偏置时,外电场的方向与内电场的方向一致,有利于少子的漂移运动而不利于多子的扩散,这种情况下的电流称为反向饱和电流。 4、PN结形成的过程中,P型半导体中的多数载流子由P向N区进行扩散,N型半导体中的多数载流子由N向P区进行扩散。扩散的结果使它们的交界处建立起一个空间电荷区,其方向由N区指向P区。空间电荷区的建立,对多数载流子的扩散起削弱作用,对少子的漂移起增强作用,当这两种运动达到动态平衡时,PN结形成。 7、稳压管是一种特殊物质制造的面接触型硅晶体二极管,正常工作应在特性曲线的反向击穿区。 三、选择题:(每小题2分,共20分) 2、P型半导体是在本征半导体中加入微量的(A)元素构成的。 A、三价; B、四价; C、五价; D、六价。 3、稳压二极管的正常工作状态是(C)。 A、导通状态; B、截止状态; C、反向击穿状态; D、任意状态。 5、PN结两端加正向电压时,其正向电流是(A)而成。 A、多子扩散; B、少子扩散; C、少子漂移; D、多子漂移。 6、测得NPN型三极管上各电极对地电位分别为V E=2.1V,V B=2.8V,V C=4.4V,说明此三极管处在(A)。 A、放大区; B、饱和区; C、截止区; D、反向击穿区。 10、若使三极管具有电流放大能力,必须满足的外部条件是(C) A、发射结正偏、集电结正偏; B、发射结反偏、集电结反偏; C、发射结正偏、集电结反偏; D、发射结反偏、集电结正偏。 四、简述题:(每小题4分,共28分) 2、某人用测电位的方法测出晶体管三个管脚的对地电位分别为管脚①12V、管脚②3V、管脚③ 3.7V,试判断管子的类型以及各管脚所属电极。

电子技术基础期末考试考试题及答案

电子技术基础期末考试考试题及答 案 部门: xxx 时间: xxx 整理范文,仅供参考,可下载自行编辑

触发器,输入信号=0,A.Q=0 B.Q=0C.=0 D.=1脉冲作用下, A.1 B.D C.0 D. 9.下图所示可能是鈡控同步RS 触发器真值表的是<) 10.电路如下图所示,若初态都为0,则的是<) 11.五位二进制数能表示十进制数的最大值是<) A.31B.32C.10 D.5 12.n 个触发器可以构成最大计数长度为的计数器<) A.n B.2n C.n2 D.2n 13.一个4位二进制加法计数器起始状态为0010,当最低位接收到10个脉冲时,触发器状态为<) A.0010 B.0100 C.1100 D.1111 14.下图所示的电路中,正确的并联型稳压电路为<) 15.在有电容滤波的单相桥式整流电路中,若要使输出电压为60V ,则变压器的次级电压应为<) A.50VB.60VC.72VD.27V 二、判断题<本大题共5小题,每小题3分,共15分)<对打√,错打×) 16.P 型半导体中,多数载流子是空穴< ) 17.环境温度升高时,半导体的导电能力将显著下降< ) 18.二极管正偏时,电阻较小,可等效开关断开<) 19.稳压二极管工作在反向击穿区域<) 20.光电二极管是一种把电能转变为光能的半导体器件<)

注:将 选择题 和判断 题答案 填写在 上面 的表 格 里, 否则 该题不得分 三、填空题<本大题共5小题,每小题4分,共20分) 21.JK触发器可避免RS触发器状态出现。与RS触发器比较,JK触发器增加了功能; 22.寄存器存放数码的方式有和两种方式; 23.二极管的伏安特性曲线反映的是二极管的关系曲线; 24.常见的滤波器有、和; 25.现有稳压值为5V的锗稳压管两只,按右图所示方法接入电路,则 V0=。 四、应用题<本大题共3小题,共35分,要求写出演算过程) 26.<10分)某JK触发器的初态Q=1,CP的下降沿触发,试根据下图所示的CP、J、K的波形,画出输出Q 和的波形。RTCrpUDGiT 27.<9分)如下图所示电路,测得输出电压只有0.7V,原因可能是: <1)R开路;<2)RL开路;<3)稳压二极管V接反; <4)稳压二极管V短路。应该是那种原因,为什么? 28.<16分)分析下图所示电路的工作原理,要求: <1)列出状态表,状态转换图; <2)说明计数器类型。 参考答案及评分标准 一、单项选择题<本大题共15小题,每小题2分,共30分) 二、判断题<本大题共5小题,每小题3分,共15分) 三、填空题<本大题共5小题,每小题4分,共20分) 21.不确定,翻转22.并行和串行 23.VD-ID24.电容、电感、复式25.5.3V 四、应用题<本大题共3小题,共30分,要求写出演算过程) 26. 27.解:稳压二极管V接反,变成正向偏置,稳压二极管正向导通时,压降是0.7V 28.解:计数前,各触发器置0,使Q2Q1Q0=000

电子技术基础试题

。电子技术基础试题库(第四版) 第一章:半导体二极管 一、填空题 1、根据导电能力来衡量,自然界的物质可以分为______________、__________和__________三类。 导体、绝缘体、半导体 2、PN节具有__________特性,即加正向压时__________,加反向压时__________。 单向导电特性、导通、截止 3、硅二极管导通时的正向管压降约__________V,锗二极管导通时的正向管压降约__________V。 、 4、使用二极管时,应考虑的主要参数是__________、__________。 最大整流电流、最高反向工作电压 5、在相同的反向电压作用下,硅二极管的反向饱和电流常__________于锗二极管的反向饱和电流,所以硅二极管的热稳定性较__________ 小、好 6、根据导电能力来衡量,自然界的物质可分为_______ 、_________和__________三类。导体, 绝缘体,半导体 7、PN结具有_____________性能,即加正向电压时PN结________,加反向电压时的PN结 _________。单向导电性,导通,截止 二,判断题 1、半导体随温度的升高,电阻会增大。()N 2、二极管是线性元件。()N 3、不论是哪种类型的半导体二极管,其正向电压都为0.3V左右。()N 4、二极管具有单向导电性。()Y 5、二极管的反向饱和电流越大,二极管的质量越好。()N 6、二极管加正向压时一定导通()N 7、晶体二极管是线性元件。()N 8、一般来说,硅晶体二极管的死区电压小于锗晶体二极管的死区电压。()Y 三、选择题 1、PN结的最大特点是具有()C A、导电性B、绝缘性C、单相导电性 2、当加在硅二极管两端的正向电压从0开始逐渐增加时,硅二极管()C A、立即导通B、到0.3V才开始导通C、超过死区压才开始导通D、不导通 3、当环境温度升高时,二极管的反向电流将()A A、增大B、减少C、不变D、先变大后变小 4、半导体中传导电流的载流子是()。C A、电子 B、空穴 C、电子和空穴 5、P型半导体是()B A、纯净半导体 B、掺杂半导体 C、带正电的 四、综合题

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

电子技术基础复习题与答案

中南大学网络教育课程考试(专科)复习题及参考答案 电子技术基础 一、选择题: 1.在杂质半导体中,少子浓度主要取决于( ) (A) 掺入杂质的浓度、 (B) 材料、 (C) 温度 2.测得某PNP型三极管各极点位为:UB=-3V UE=-4V UC=-6V,则该管工作于( ) (A) 放大状态、 (B) 饱和状态、 (C) 截止状态 3.在基本共射放大电路中,若更换晶体管使β值由50变为100,则电路的放大倍数( ) (A) 约为原来的1/2倍 (B) 约为原来的2倍 (C) 基本不变 4.在OCL电路中,引起交越失真的原因是( ) (A) 输入信号过大 (B) 晶体管输入特性的非线性 (C) 电路中有电容 5.差动放大器中,用恒流源代替长尾R e是为了( ) (A) 提高差模电压增益 (B) 提高共模输入电压围 (C) 提高共模抑制比 6.若A+B=A+C,则() (A) B=C; (B) B=C;(C)在A=0的条件下,B=C 7.同步计数器中的同步是指() (A)各触发器同时输入信号;(B)各触发器状态同时改变; (C)各触发器受同一时钟脉冲的控制 8.由NPN管组成的单管基本共射放大电路,输入信号为正弦波,输出电压出现顶部被削平的失真,这种失真是() (A)饱和失真(B)截止失真(C)频率失真 9.对PN结施加反向电压时,参与导电的是() (A)多数载流子(B)少数载流子(C)既有多数载流子又有少数载流子 10.当温度增加时,本征半导体中的自由电子和空穴的数量() (A)增加(B)减少(C)不变 11.通用型集成运放的输入级采用差动放大电路,这是因为它的() A、输入电阻高 B、输出电阻低 C、共模抑制比大 D、电压放大倍数大 12.对于桥式整流电路,正确的接法是( )

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

电子技术基础实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交 替”和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示 方式,当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描

电子技术基础习题答案

第1 章检测题(共100 分,120 分钟) 一、填空题:(每空分,共25分) 1、N型半导体是在本征半导体中掺入极微量的 _五_价元素组成的。这种半导体内的多数载流子为—自由电子_,少数载流子为—空穴_,不能移动的杂质离子带—正电。P型半导体是在本征半导体中掺入极微量的 _三_价元素组成的。这种半导体内 的多数载流子为—空穴_,少数载流子为—自由电子_,不能移动的杂质离子带_负_电。 2、三极管的内部结构是由—发射—区、_基_区、—集电区—区及—发射—结和—集电结组成的。三极管对外引出的电极分别是—发射—极、_基_极和—集电—极。 3、PN结正向偏置时,外电场的方向与内电场的方向 _相反—,有利于—多数载流子—的 _扩散—运动而不利于—少数载流子_的_漂移_; PN结反向偏置时,外电场的方向与内电场的方向—一致_,有利于_少子—的_漂移_运动而不利于_多子_的_扩散_,这种情况下的电流称为_反向饱和_电流。 4、PN结形成的过程中,P型半导体中的多数载流子由_巳向_N区进行扩散,N 型半导体中的多数载流子由__^_向—P_区进行扩散。扩散的结果使它们的交界处建立起一个—空间电荷区_,其方向由_N区指向_P_区。—空间电荷区—的建立,对多数载流子的—扩散—起削弱作用,对少子的—漂移—起增强作用,当这两种运动达到动态平衡时,_PN结—形成。 5、检测二极管极性时,需用万用表欧姆挡的_R X 1K档位,当检测时表针偏转 度较大时,与红表棒相接触的电极是二极管的_阴_极;与黑表棒相接触的电极是二 极管的_阳_极。检测二极管好坏时,两表棒位置调换前后万用表指针偏转都很大时,说明二极管已经被—击穿_;两表棒位置调换前后万用表指针偏转都很小时,说明该二极管已经_绝缘老化。 6、单极型晶体管又称为场效应(MO$管。其导电沟道分有N沟道和―巳沟

电子技术基础试题及答案

电子技术基础试卷 一、填空题(20分) 1、______电路和_______电路是两种最基本的线性应用电路。 2、晶体二极管具有_______特性。 3、放大电路的分析方法有______和小信号模型分析法。 4、BJT的主要参数是__________。 5、带宽和________是放大电路的重要指标之一。 6、处理模拟信号的电子电路称为_______。 7、把整个电路中的元器件制作在一块硅基片上,构成特定功能的电子电路称为_____电路。 8、在电子电路中反馈按极性不同可分为______和_______两种。 9、判断一个放大电路中是否存在反馈,只要看该电路的输出回路与输入回路之间是否存在反馈网络,即________。 10、负反馈放大电路有四种类型:___________、 ___________、___________以及___________放大电路。 11、放大电路的实质都是_______电路。 12、放大电路可分为四种类型:_______、_______、_______和_______。 二、判断题(1—5题每题2分,6—15题每题1分,共20分) 1、图示中 R引人电压并联负反 2 图题1 2、图示中 R电流串联正反馈 e1 图题2

3、图示电路不能振荡 图题3 4、图示电路不能振荡 图题4 5、图示电路中T 1为共基极组态,T 2 为共集电极组态 图题5 6、PN结的单向导电性关键在于它的耗尽区的存在,且其宽度随外加电压而变化。 7、齐纳二极管是一种特殊二极管。 8、BJT有NPN和PNP两种类型。 9、图解法能分析信号幅值太小或工作频率较高湿的电路工作状态。 10、MOS器件主要用于制成集成电路。 11、差分放大电路中共模电压增益越小,说明放大电路的性能越好。 12、放大电路中的内部噪声与放大电路中个元器件内部载流子运动的不规则无关。 13、放大电路中直流反馈不影响静态工作点。 14、负反馈能够改善放大电路的多方面性能是由于将电路的输出量引回到输入端与输入量进行比较,从而随时对输出量进行调整。 15、在实际应用的放大电路中很少引人负反馈。 三、计算题(1题12分,2题13分,3题15分,共40分) 1、设计一反相加法器,使其输出电压V0= -7V i1+14V i2+3.5V i3+10V i4),允许使用的最大电阻为280kΩ,求各支路电阻。

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

电子技术基础实验答案

电子技术基础实验答案 导语:在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。以下为大家介绍电子技术基础实验答案文章,欢迎大家阅读参考! 实验一、常用电子仪器的使用 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领:

1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 1)为了防止过载损坏仪表,在开机前和测量前应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。

中职 电子技术基础考试题

电子技术期中考试试题卷 姓名________ 班级_________学号___________ 时间:90分钟 满分:100分 一、 选择题 1.在杂质半导体中,少子浓度主要取决于( ) (A): 掺入杂质的浓度、 (B): 材料、 (C): 温度 2.测得某PNP 型三极管各极点位为:UB=-3V UE=-4V UC=-6V ,则该管工作于( ) (A): 放大状态 、 (B): 饱和状态、 (C): 截止状态 3.在基本共射放大电路中,若更换晶体管使β值由50变为100,则电路的放大倍数( ) (A): 约为原来的1/2倍 、 (B): 约为原来的2倍、 (C): 基本不变 4.由NPN 管组成的单管基本共射放大电路,输入信号为正弦波,输出电压出现顶部被削平的失真,这种失真是 ( ) (A )饱和失真 (B ) 截止失真 (C ) 频率失真 5.当温度增加时,本征半导体中的自由电子和空穴的数量 ( ) (A )增加 (B )减少 (C )不变 6.对于桥式整流电路,正确的接法是( ) 7.晶体三极管工作在饱和状态时,满足( ) A. 发射结、集电结均正偏 B. 发射结、集电结均反偏 C. 发射结正偏、集电结反偏 D. 发射结反偏、集电结正偏 8.稳压二极管正常工作时应工作在( )区。 A. 死区 B. 正向导通 C. 反向截止 D. 反向击穿 9、某负反馈放大电路框图如下所示,则电路的增益i o F X X A 为( )。 A 、100 B 、10 C 、90 D 、0.09 10.图示电路中,AB 之间电压V 对应的方程式为( )。 A.V=IR+E B.V=IR-E C.V=-IR-E D. -V=IR+E 二、填空题: 1.N 型半导体中多数载流子是_______少数载流子是______。 2.PN 结具有_________特性。 3.利用半导体材料的某种敏感特性,如_______ 特性和_______ 特性,可以制成热敏电阻和光敏元件。 4.画放大器直流通路时,_______视为开路,画交流通路时,藕合电容、旁路电容和直流电压视为_______。 5.理想运放的输出电阻是________,输入电阻是________。 6、理想的二极管,其正向电阻约为 ,反向电阻约为 。 7、 晶体三极管工作在 区时,关系式I C =βI B 才成立,而工作在 区时,I C =0。 8、单相桥式整流电路,若其输入交流电压有效值为10V ,则整流后的输出电压平均值等于______。 X o

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

电子技术基础考试必备十套试题,有答案

电子技术基础试题(八)一.填空题:(每题3分,共30分) 1、PN结具有__________性能。 2、一般情况下,晶体三极管的电流放大系数随温度的增加而_______。 3、射极输出器放在中间级是兼用它的____________大和____________ 小的特点,起阻抗变换作用。 4、只有当负载电阻R L和信号源的内阻r s______时,负载获得的功率最 大,这种现象称为______________。 5、运算放大器的输出是一种具有__________________的多级直流放大器。 6、功率放大器按工作点在交流负载线上的位置分类有:______类功放, ______类功放和_______类功放电路。 7、甲乙推挽功放电路与乙类功放电路比较,前者加了偏置电路向功放 管提供少量__________,以减少__________失真。 8、带有放大环节的串联型晶体管稳压电路一般由__________ 、 和___________四个部分组成。 9.逻辑代数的三种基本运算是 _________ 、___________和___________。 10.主从触发器是一种能防止__________现象的实用触发器。 二.选择题(每题3分,共30分) 1.晶体管二极管的正极的电位是-10V,负极电位是-5V,则该晶体

二极管处于:( )。 A.零偏 B.反偏 C.正偏 2.若晶体三极管的集电结反偏、发射结正偏则当基极电流减小时,使该三极管:()。 A.集电极电流减小 B.集电极与发射极电压V CE上升 C.集电极电流增大 3.某三级放大器中,每级电压放大倍数为Av,则总的电压放大倍数:()。 A.3A V B.A3V C.A V3/3 D.A V 4.正弦波振荡器中正反馈网络的作用是:()。 A.保证电路满足振幅平衡条件 B.提高放大器的放大倍数,使输出信号足够大 C.使某一频率的信号在放大器工作时满足相位平衡条件而产生自激 振荡 5.甲类单管功率放大电路中结构简单,但最大的缺点是:()。 A.有交越失真 B.易产生自激 C.效率低6.有两个2CW15稳压二极管,其中一个稳压值是8V,另一个稳压值为 7.5V,若把两管的正极并接,再将负极并接,组合成一个稳压管接 入电路,这时组合管的稳压值是:( )。 A.8V B.7.5V C.15.5V 7.为了减小开关时间,常在晶体管的基极回路中引入加速电容,它的

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

相关文档
相关文档 最新文档