文档库 最新最全的文档下载
当前位置:文档库 › 10实验十 移位寄存器

10实验十 移位寄存器

10实验十  移位寄存器
10实验十  移位寄存器

实验十移位寄存器

一、实验目的

1.掌握移位寄存器的工作原理及电路组成。

2.测试双向移位寄存器的逻辑功能。

3.掌握二进制码的串行并行转换技术、二进制码的传输和累加。

二、实验原理

1.单向移位寄存器

移位寄存器是一种由触发器连接组成的同步时序电路。每个触发器的输出连到下一级触发器的控制输入端,在时钟的作用下,存贮在移位寄存器中的信息,逐位左移或右移。

移位寄存器的清零方式有两种:一种是将所有触发器的清零端CLR’连在一起,置位端S连在一起,当CLR=0,S=1时,Q端为0,这种方式称为“异步清零”。另一种方法是在串行输入端输入“0”电平,接着从CK端送4个脉冲,则所有触发器也可清至零状态。这种方式称为“同步清零”。

74LS164为集成的八位移位寄存器,特点是选通串行输入,并行输出。器件功能和外部引脚排列如图10-1所示。

1 2 3 4 5 6 7 图10-1 74LS164引脚排列CLR:清零CK(CP):时钟A、B:串入Q A~Q B:并出

2.双向移位寄存器

74LS194为集成的四位双向移位寄存器,当清零端(CLR)为低电平时,输出端(Q A、Q B、Q C、Q D)均为低电平(零)。当工作方式控制端(S1、S0)均为高电平时,在时钟(CK)上升沿作用下,并行数据(A、B、C、D)被送入相应的输出端(Q A、Q B、Q C、Q D),此时串行数据被禁止;当S1为低电平,S0为高电平时,在时钟CK上升沿作用下进行右移操作,数据由R送入;当S1为高电平,S0低电平时,在时钟CK上升沿作用下进行左移操作,数据由L送入;当S0和S1为低电平时,时钟CK被禁止, 移位寄存器保持不变。

三、实验仪器和器件

1.实验仪器

DZX-2B型电子学综合实验装置

2.器件

74LS00(二输入端四与非门)、74LS20(四输入端二与非门)

74LS76(双J-K触发器)、74LS164(单向移位寄存器)

四、实验内容

1.由四个主从J-K触发器构成简单的四位串行移位寄存器(用74LS76),并测量其逻辑功能;

由四个J 、K 主从触发器组成 Cin : 接单脉冲 CK : 接单脉冲 R 、S :接逻辑电平 A~D : 接电平显示

图10-3

置位串行输入时钟清零

图10-2

接电平显示

(1)将双JK 触发器两块74LS76插入DZX-2B 型电子学综合实验装置上的IC 插座,按图10-2连接成四位串行移位寄存器。

(2)按图10-3将个输入端、输出端及各控制端,然后按表10-1的要求,送入寄存数据,记住先送最高位,输入信号一定要与时钟同时出现。在四个时钟脉冲后,就把四位数字存入寄存器,寄存器一定是串行输入,输出则可以由D 、C 、B 、A 并行输出,或由D 串行输出。(只要加入四个时钟脉冲,从D 即可串行输出)

(3)按表10-1对输入端的要求,观察输出端的显示,记录在表10-1内。

2.测量八位移位寄存器74LS164的逻辑功能;

(1)按图10-4将74LS164八位寄存器的输入输出接至实验箱的所需信号源和显示器上;

1、2、9:接逻辑电平 8:接单脉冲

A~H :接电平显示

(2)按表10-2要求进行测试,将显示结果填入表内。 3.用74LS164构成八位扭环形计数器 五、实验报告要求

1.自行设计实验电路和实验表格,记录实验数据;

接电平显示 “电平

输出10101001的接线图

接脉冲接电平显示

八位扭环形计数器连线图

“接脉冲接电平显示

2.整理实验数据,分析实验结果,与理论是否相符?

与理论值一致。

3.根据实验结果,总结寄存器的基本原理。

寄存器是一种基本时序电路,它由具有存储功能的触发器(如基本触发器、同步触发器、主从触发器或边沿触发器等)构成,把需要处理的数据、代码先寄存起来以便随时取用。

4.写出移位寄存器输入、输出方式的种类。

移位寄存器可以并行输入、并行输出,可以串行输入、串行输出,可以并行输入、串行输出,可以串行输入、并行输出。

5.总结并写出移位寄存器的用途。

移位寄存器可以实现寄存数据和代码的功能,也可以实现移位功能,包括简单二进制乘除运算和环行计数器。

搅拌站试验室设备操作规程完整

ZBSX-92A型震击式标准振筛机操作规程 1、使用前按要求接进电源,使电机转向箭头方向一致,同时检 查润滑系统; 2、根据测试物选择适宜套筛,左旋扭紧螺栓,松开筛盖固定顶杆,把套筛置于筛子托盘上,将称好的试样置于标准筛最上一层,将套筛紧固于筛托盘上; 3、调节好定时旋钮,开通电源; 4、一次试验结束取下套筛,按标准分格称量记录; 5、试验完毕后,关断电源,将机身内外清扫干净,适时加注、 更换润滑油。 6、填写仪器设备使用记录。

STD-60型单卧强制式搅拌机操作规程 1、正式放料前,清理干净筒内杂料,用水或水泥砂浆将搅拌筒 及叶片润湿; 2、根据所需搅拌时间调整好时间继电器的定时,再接通电源; 3、将预先称好的砼物料按顺序加入筒内,按下启动按钮开机搅拌,达到调定的时间机器自动停止; 4、搅拌过程中应注意观察砼及搅拌机情况,出现异常情况可按下红色按钮停机; 5、卸料时需等设备完全停止转动,然后将筒体限位手柄松开,再将搅拌筒翻转至便于出料位置,启动机器方可出料; 6、物料排尽后搬动手柄使筒复位,用水及毛刷将沾留物洗净并 用布擦干; 7、如实填写仪器设备使用记录。

NJ-160型水泥净浆搅拌机操作规程 1、使用前先检查电源是否相符,插上时控器后方可通电源; 2、每次试验前先观察转动方向是否符合要求,一切正常后才正 式工作; 3、试验时搬动滑板手柄放下搅拌锅,逆时针方向取出搅拌锅并加入称好的物料; 4、将搅拌锅放到托架上,搬动手柄升到固定位置,打开电源及有关工作开关,仪器开始工作; 5、当开关拨在“自动”档,仪器将完成规定的程序到时自动停机,若拨在“手动”档,需用手动本位开关完成所需的搅拌时间和转速; 6、停机后,取下搅拌锅,使用完搅好的物料后,擦净叶片上的沾留物,清洗搅拌锅,将操作台面清扫干净; 7、填写仪器设备使用记录。

32位移位寄存器

实验四 一、实验名称 32位并进/并出移位寄存器设计 二、实验原理 用一个8位移位寄存器,再增加一些电路,如4个8位锁存器等,设计成为一个能为32位二进制数进行不同方式移位的移位寄存器。 三、实验步骤 1、建立一个工程项目,设置路径,项目名和顶层实体名一致; 2、设计一个8位移位寄存器电路; 3、设计一个8位锁存器电路; 4、运用元件调用声明语句和元件例化语句完成顶层设计。 四、实验程序 1、八位移位寄存器程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY jicun IS PORT ( CLK,CO: IN STD_LOGIC; --时钟和进位输入 MD : IN STD_LOGIC_VECTOR(2 DOWNTO 0); --移位模式控制字 D : IN STD_LOGIC_VECTOR(7 DOWNTO 0); -- 待加载移位的数据 QB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --移位数据输出 CN : OUT STD_LOGIC); --- 进位输出 END jicun; ARCHITECTURE behav OF jicun IS SIGNAL REG : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL CY : STD_LOGIC; BEGIN

PROCESS(CLK,CO,MD) BEGIN IF CLK'EVENT AND CLK='1' THEN CASE MD IS WHEN "001"=> REG(0)<=CO; ---带进位循环左移 REG(7 DOWNTO 1)<= REG(6 DOWNTO 0);CY<=REG(7); WHEN "010" => REG(0)<=REG(7); ---自循环左移 REG(7 DOWNTO 1)<= REG(6 DOWNTO 0); WHEN "011"=>REG(7)<=REG(0); ---自循环右移 REG(6 DOWNTO 0)<=REG(7 DOWNTO 1); WHEN "100" =>REG(7)<=CO; ---带进位循环右移 REG(6 DOWNTO 0)<=REG(7 DOWNTO 1);CY<=REG(0); WHEN "101" =>REG(7 DOWNTO 0)<=D(7 DOWNTO 0); --加载待移数 WHEN OTHERS=>REG<=REG;CY<=CY;--保持 END CASE; END IF; END PROCESS; QB(7 DOWNTO 0)<=REG(7 DOWNTO 0);CN<=CY; END behav; 2、锁存器程序 library ieee ; use ieee.std_logic_1164.all ; entity suocun is port(d : in std_logic_vector(7 downto 0) ; q: out std_logic_vector(7 downto 0); clk : in std_logic ); end suocun ; architecture one of suocun is signal q1: std_logic_vector(7 downto 0);

线性反馈移位寄存器(LFSR)

最近一直在研究信道编码,发现在信道编码里面有一个电路比较重要也比较有趣,那就是线性反馈移位寄存器LFSR ,相信大家对LFSR 电路也不陌生了,在通信领域lfsr有着很广泛的应用,比如说M序列,扰码,信道编码,密码学这方面都有很广泛的应用,LFRS的结构一般如下图: 其中他需要一个生成多项式为: 这个多项式是一个本原多项式,然后知道这个电路有一些有意思的性质,下面我以m = 3 来做个例子具体的电路图如下所示: 假设开始的时候(D2,D1,D0 )= (0,0,1),那么每过一个时钟周期会进行跳变一次, 可以看到具体的跳变如下所示:

然后我们可以看到这个计数器循环起来了,很好玩吧,无论进入那样一个状态除了0之外,都可以循环着回来,其实这里就相当于了一个3bit的伪随机数,很有意思,不是所有的多项式都有这个特性,我们现在在从数学上面来看看这个问题,其实最上面的电路是可以看成是一个除法电路,在Galois域的一个除法电路。现在假设的是R(x)是寄存器中剩余的数据,M(x)是输入的码字多项式,然后数学公式可以表示成: 然后我分别计算出了M(x)的各种情况,

然后我们单独进行一下7次方的运算 发现7次方的运算和0次的时候的余数是一样的 然后我们发现其实在上面的电路中对多项式的除法也是可以循环起来的,可以验证的是

把这个记成 上面的式子是可以循环的,然后我又想到了CRC的计算,CRC的计算也可以通过一个除法电路来实现, 假设码子多项式为 生成多项式为 那么CRC的码字为这样我们同样可以用LFSR电路来进行实现 首先对M(x)乘以一个x的r次方,然后去去除G(x),在电路上的表现就是 所以在输入码字以后还需要多输入r拍的0这样才能使最后的CRC码字数据. 同理这个电路也可以进行CRC校验,把生成的数据全部都依次输入进这个 Love is not a maybe thing. You know when you love someone.

32位并进并出移位寄存器设计

《EDA课程设计》 32位并进并出移位寄存器设计 学院:物理电气信息学院 专业:通信工程 姓名:杨小花 学号:12010245315 指导老师:杨泽林 完成日期:2012.12.11

32位并进并出移位寄存器设计 (杨小花12010245315 通信工程专业) 摘要:EDA技术作为现代电子设计技术的核心,它依赖强大的计算机,在EDA工具软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑简化、逻辑分割、逻辑综合,以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。随着基于EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。关键字:EDA技术;VHDL语言;移位寄存器 一、实验原理 用一个8位移位寄存器,再增加一些电路,如4个8位锁存器等,设计成为一个能为32位二进制数进行不同方式移位的移位寄存器。8位是CPU设计中常用的移位寄存器模块,是用CASE语句设计的并行输入输出的移位寄存器模块。设计中有四种循环:带进位循环左移,带进位循环右移,自循环左移和自循环右移。其中带进位循环左移的原理是,每一次最高位移出进入输出进位,而最低位是设置的进位输入,带进位循环右移原理是,每一次最低位移出进入输出进位,而最高位是设置的进位输入,子循环左移的原理是,每一次循环,最高位进入到最低位,依次循环,自循环右移原理是,每一次最低位进入到最高位,依次循环。 二、实验步骤 1、建立一个工程项目,设置路径,项目名和顶层实体名一致; 2、设计一个8位移位寄存器电路;

3、设计一个8位锁存器电路; 4、运用元件调用声明语句和元件例化语句完成顶层设计。 三、实验程序 1、八位移位寄存器程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY SHIFTIS PORT ( CLK,CO: IN STD_LOGIC; --时钟和进位输入 MD : IN STD_LOGIC_VECTOR(2 DOWNTO 0); --移位模式控制字 D : IN STD_LOGIC_VECTOR(7 DOWNTO 0); -- 待加载移位的数据 QB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --移位数据输出 CN : OUT STD_LOGIC); --- 进位输出 END SHIFT; ARCHITECTURE behav OF SHIFT IS SIGNAL REG : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL CY : STD_LOGIC; BEGIN PROCESS(CLK,CO,MD) BEGIN IF CLK'EVENT AND CLK='1' THEN CASE MD IS

检测试验设备的操作规程

X射线机操作规程 1 χ射线机输入电压变化范围在198—242Kv。 2 所用电线安全可靠,不允许用裸露和不安全的插头、插座。 3 正确地使用仪器的接地保护。 4 实际透照前要进行预热准备。 5 开机后观察操作台和机头风扇是否运转,不运转时停机。

6 在χ射线不产生期间,没有特殊情况一般不要关掉启动电源,使仪器风扇运转。 7 保护好电缆插头,泥沙脏物及时清除,以防仪器短路。 8 机器工作时间与休息时间应按1:1进行。 9 当射线发生器内气压低于0.34MPa时停止开机。 10 训机是一项十分重要的工作,对仪器的使用寿命至关重要,务必按操作台所加的训机曲线进行。

γ射线探伤仪操作规程 1.γ源存放规定 1.1γ源的存放场所必须事先经公司安监部、公安保卫部批 准。 1.2应存放在专用的贮藏室内,不得与易燃、易爆、腐蚀性物质一起存放。 1.3存放γ源的贮藏室或容器不得设置在可能有落物的下方,人员密集、施工(交通)道两旁。 1.4放置在再次屏蔽的特殊容器和金属箱内,以保证非工作人员接受的辐射当量不超过《放射防护规定》的要求。 1.5施工现场不得存放射源。如需短时间存放时,需经有关领导批准,并设置围栏及醒目的标志,而且安全放置在再次屏蔽的特殊容器内。 1.6射源应指定专人管理,定期检测。射源容器必须加双锁。 1.7γ源存放区,必须由公安保卫部设岗守卫,并要求值勤人员明确职责。 1.8射源存放地点需经安监部、公安保卫部及当地防疫站检查认可,不得任意改动。 2 现场运输 2.1 从贮藏室中取出γ射线机前,必需用剂量仪监测γ射线机是否处于正常状态,然后才允许至少两名工作人员同时把γ源从储藏室中取出。 2.2可用毛竹杆抬,必要时可采用绳子或吊车带。γ射线必须绑架牢固,人机相距必须大于0.5m。夜间运输必须使用必要的照明工具,否则按违章处理。 2.3使用前应全面检查γ射线机质量,包括软管有无破损、软管内金属系统有无翘起、γ机是否处于完好使用状态。检查结果

作业参考答案级线性反馈移位寄存器在c=时可有种

第二章作业参考答案1.3级线性反馈移位寄存器在c3=1时可有4种线性反馈函数,设其初始状态为 (a1,a2,a3)=(1,0,1),求各线性反馈函数的输出序列及周期。 解:此时线性反馈函数可表示为f(a1,a2,a3)=a1?c2a2?c1a3 当c1=0,c2=0时,f(a1,a2,a3)=a1?c2a2?c1a3=a1, 输出序列为101101…,周期=3 当c1=0,c2=1时,f(a1,a2,a3)=a1?c2a2?c1a3=a1?a2, …,周期=7 当c1=1,c2=0时,f(a1,a2,a3)=a1?c2a2?c1a3=a1?a3, …,周期=7 当c1=1,c2=1时,f(a1,a2,a3)=a1?c2a2?c1a3=a1?a2?a3, 有输出序列为1010…,周期=2 2.设n级线性反馈移位寄存器的特征多项式为p(x),初始状态为(a1,a2,…,a n-1,a n)=(00…01),证明输出序列的周期等于p(x)的阶 证:设p(x)的阶为p,由定理2-3,由r|p,所以r?p 设A(x)为序列{a i}的生成函数,并设序列{a i}的周期为r,则显然有A(x)p(x)=?(x) 又A(x)=a1+a2x+…+a r x r-1+x r(a1+a2x+…+a r x r-1)+(x r)2(a1+a2x+…+a r x r-1)+… =a1+a2x+…+a r x r-1/(1-x r)=a1+a2x+…+a r x r-1/(x r-1) 于是A(x)=(a1+a2x+…+a r x r-1)/(x r-1)=?(x)/p(x) 又(a1,a2,…,a n-1,a n)=(00…01) 所以p(x)(a n x n-1+…+a r x r-1)=?(x)(x r-1)即p(x)x n-1(a n+…+a r x r-n)=?(x)(x r-1) 由于x n-1不能整除x r-1,所以必有x n-1|?(x),而?(x)的次数小于n,所以必有?(x)=x n-1 所以必有p(x)|(x r-1),由p(x)的阶的定义知,阶p?r 综上所述:p=r# 3.设n=4,f(a1,a2,a3,a4)=a1?a4?1?a2a3,初始状态为(a1,a2,a3,a4)=(1,1,0,1),求此非线性反馈移位寄存器的输出序列及周期。 解:由反馈函数和初始状态得状态输出表为 (a4 a3 a2 a1)输出(a4 a3 a2 a1)输出 1011111111 1101101111 1110010111(回到初始状态) 所以此反馈序列输出为:11011…周期为5 4.设密钥流是由m=2s级LFSR产生,其前m+2个比特是(01)s+1,即s+1个01。问第m+3个比特有无可能是1,为什么? 解:不能是1。 可通过状态考察的方法证明以上结论。 首先m级LFSR的状态是一个m维的向量,则前m个比特构成一个状态S0,可表示为(01)s, 第m+1个比特是0,所以S0的下一个状态是S1=(10)s, 第m+2个比特是1,所以S1的下一个状态是S2=(01)s=S0,回到状态S0, 所以下一个状态应是S3=S1=(10)s,也即第m+3个比特应该为0。 5.设密钥流是由n级LFSR产生,其周期为2n-1,i是任一正整数,在密钥流中考虑以下比特对 (S i,S i+1),(S i+1,S i+2),…,(S i+2n-3,S i+2n-2),(S i+2n-2,S i+2n-1), 问有多少形如(S j,S j+1)=(1,1)的比特对?证明你的结论。

移位寄存器及其应用(精)

移位寄存器及其应用 一、实验目的 1、掌握中规模4位双向移位寄存器逻辑功能及使用方法。 2、熟悉移位寄存器的应用—实现数据的串行、并行转换和构成环形计数器。 二、原理说明 1、移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。按代码的移位方向可分为左移、右移和可逆移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。根据移位寄存器存取信息的方式不同又可分为:串入串出、串入并出、并入串出、并入并出四种形式。 本实验选用的4位双向通用移位寄存器,型号为CC40194或74LS194,两者功能相同,可互换使用,其逻辑符号及引脚排列如图8-3-3-1所示。 其中 D0、D1、D2、D3为并行输入端;Q0、Q1、Q2、Q3为并行输出端;S R为右移串行输入 C为直接无条件清零端; 端,S L为左移串行输入端;S1、S0为操作模式控制端;R CP为时钟脉冲输入端。 CC40194有5种不同操作模式:即并行送数寄存,右移(方向由Q0→Q3),左移(方向由Q3→Q0),保持及清零。 S1、S0和R C端的控制作用如表8-3-3-1。 图8-3-3-1 CC40194的逻辑符号及引脚功能 表8-3-3-1 CC40194功能表

2、移位寄存器应用很广,可构成移位寄存器型计数器;顺序脉冲发生器;串行累加器;可用作数据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等。本实验研究移位寄存器用作环形计数器和数据的串、并行转换。 (1)环形计数器 把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位, 如图8-3-3-2所示,把输出端 Q3和右移串行输入端S R 相连接,设初始状态Q0Q1Q2Q3=1000,则在时钟脉冲作用下Q0Q1Q2Q3将依次变为0100→0010→0001→1000→……,如表10-2所示,可见它是一个具有四个有效状态的计数器,这种类型的计数器通常称为环形计数器。图8-3-3-2 电路可以由各个输出端输出在时间上有先后顺序的脉冲,因此也可作为顺序脉冲发生器。其状态表如表8-3-3-2所示。 表8-3-3-2 环形计数器状态表 图 8-3-3-2 环形计数器 如果将输出Q O与左移串行输入端S L相连接,即可达左移循环移位。 (2)实现数据串、并行转换 ①串行/并行转换器 串行/并行转换是指串行输入的数码,经转换电路之后变换成并行输出。 图8-3-3-3是用二片CC40194(74LS194)四位双向移位寄存器组成的七位串/并行数据转换电路。

第10章 移位寄存器 (2011)

第10章移位寄存器 本章大纲 10.1 基本移位寄存器功能 10.2 串行进入/串行输出移位寄存器 10.3 串行进入/并行输出移位寄存器 10.4 并行进入/串行输出移位寄存器 10.5 并行进入/ 并行输出移位寄存器 10.6 双向移位寄存器 10.7 移位寄存器计数器 10.8 移位寄存器应用 10.9 故障检测 10.10 关联标注的逻辑符号 10.11 CPLD简介 10.12 数字系统应用 本章学习目标 ?识别移位寄存器中数据运动的基本方式 ?解释串行进入/串行输出、串行进入/并行输出、并行进入/串行输出和并行进入/并 行输出移位寄存器是怎样运行的 ?描述双向移位寄存器怎样运行 ?确定约翰逊计数器的序列 ?设置环形计数器以产生指定序列 ?从移位寄存器中构建环形计数器 ?使用移位寄存器作为时间延迟设备 ?使用移位寄存器来实现串行到并行数据的变换器 ?实现基本移位寄存器控制的键盘译码器 ?通过用已知的测试模式“运行”系统来对数字系统进行故障检测 ?解释关联标注的ANSI/IEEE标准91-1984移位寄存器 ?描述基本的CPLD ?在系统应用中使用移位寄存器 重要术语 ?寄存器 ?级

?移位 ?载入 ?双向 ?CPLD ?逻辑阵列块(LAB) ?宏单元 简介 移位寄存器是紧密关联于数字计数器的序列逻辑电路的一种类型。寄存器主要用来存 储数字数据并且一般不具有特征内部状态序列,而计数器则具有这样的序列。但是也有例外,我们将在10.7节介绍它们。 在本章中,我们将学习移位寄存器的基本类型并展示几个应用。同时,我们还介绍了一种重要的故障检测方法。本章还介绍了复杂可编程逻辑设备(CPLD)。 固定功能逻辑器件 74HC164 74HC165 74HC174 74HC194 74HC195 可编程逻辑器件 MAX 7000 ·数字系统应用概述 数字系统应用阐释了本章中的概念。我们介绍了一个控制建筑物中警报器的安全进入系统。该系统使用两种类型的寄存器以及前几章所介绍的其他类型的设备。该系统同时还含有一个存储器,其将是第12章数字系统应用的重点。 学习本章内容可访问https://www.wendangku.net/doc/2c1875311.html,/floyd。 10.1 基本移位寄存器功能 移位寄存器由一组触发器组成,在数字系统中涉及数据存储和移位方面的应用中是很 重要的。寄存器和计数器不同,除了一些特别专业的应用之外,都没有特定的状态序列。一般来说,寄存器主要用来存储和移位外部数据源进入其中的数据(1和0),并且一般不具有特征内部状态序列。 学完本节之后,你应当能够 ?解释触发器怎样存储一个数据位 ?定义移位寄存器的存储容量 ?定义寄存器的移位能力 □寄存器可以由一个或者多个用以存储和移位数据的触发器组成。 寄存器是一种具有两种基本功能的数字电路:数据存储和数据移动。寄存器的存储能

电子万能试验机操作规程

电子万能试验机操作规程 Prepared on 22 November 2020

电子万能试验机操作规程名称:电子万能试验机;型号:WDW-100E;使用人:李腾 一、操作前的准备工作: 1、试验机进行可靠接地。 2、检查试验传感器是否满足试验要求,是否需要更换传感器,避免被试品测试力过大损坏传感器;或是因为传感器超出被测试品数值过大超出误差允许范围。 二、正式操作: 1、按顺序先打开试验机(预热15分钟),再打开电脑的电源开关。 2、待测试品放置试验台面,调节试验机升降按钮确保与测试面对准,并对试品做好装夹。 3、电脑打开软件进入主界面;先查看是否是相应的标准测试。 4、返回主界面后将“实时力值”,“位移”,“变形”,“峰值力值”进行清零。 5、点击右向三角按钮开始试验。 6、当“实时力值”开始下降且“实时力值”明显低于“峰值力值”时,可以点击方框按钮停止试验,或利用试验机自动返车(此项功能需在试验方案中进行参数设置),记录“峰值力值”比对标准做出试验结果判定。 7、实验完毕后,把万能机上的灰尘、试验品残留清理干净,先关闭测试机电源,再关闭软件,再关闭电脑。 三、设备的存放与操作注意事项: 1、试验机需要定时除尘,附带的夹具容易生锈,不用时上一点机油。 2、试验机开机预热15分钟后方能开始试验,如果试验中途关机,最少1分钟后再开试验机,不能够关机后直接在打开试验机。 3、若更换负荷传感器,要先关掉试验机电源,软件脱机;且不可带电插拔负荷传感器引线。 4、试验机在搬运时,要水平移动,不能震动过大,更不可搬中间横梁(即装有负荷传感器的横梁)。过门时小心不要把试验机顶部的光点编码器撞坏。 5、本机负荷传感器最大负荷是100KN(即10000公斤)试验时一定要注意,如果试样拉压力可能超过100KN时,在设置“试验方案”时要写好“定负荷”“负荷衰减率”这两种停机方式。

8位移位寄存器的电路设计与版图实现

8位移位寄存器的电路设计与版图实现 摘要 电子设计自动化,缩写为EDA,主要是以计算机为主要工具,而Tanner EDA则是一种在计算机windows平台上完成集成电路设计的一种软件,基本包括S-Edit,T-Spice,W-Edit,L-Edit与LVS等子软件,其S-Edit以及L-Edit为常用软件,前者主要实现电路设计,后者主要针对的是已知电路的版图绘制,而T-Spice主要可实现电路图及版图的仿真,可以用Tanner EDA实现电路的设计布局以及版图实现等一系列完整过程。本文用Tanner EDA工具主要设计的是8位移位寄存器,移位寄存器主要是用来实现数据的并行和串行之间的转换以及对数据进行运算或专业处理的工具,主要结构构成是触发器,触发器是具有储存功能的,可以用来储存多进制代码,一般N 位寄存器就是由N个触发器构成,移位寄存器工作原理主要是数据在其脉冲的作用下实现左移或者右移的效果,输入输出的方式表现为串行及并行自由组合,本设计就是在Tanner EDA的软件平台上进行对8位移位寄存器的电路设计仿真,再根据电路图在专门的L-Edit 平台上完成此电路的版图实现,直至完成的结果和预期结果保持一致。 关键词:Tanner EDA;L-Edit;移位寄存器,S-Edit

8 bits shift register circuit design and layout Abstract Electronic design automation,referred to as EDA,it is based on computers as the main tool,and Tanner EDA is a kind of software that complete the integrated circuit design on Windows platforms.Its Sub-Softwares include S-Edit,T-Spice,W-Edit,L-Edit and LVS and so on.S-Edit and L-Edit are commonly used software,S-Edit is primarily designed to achieve circuit,the latter is aimed primarily known circuit layout drawing,T-Spice can achieve schematic and layout simulation.We can achieve layout of the circuit design and a series of complete process layout used Tanner EDA tools.In this paper, Tanner EDA tools are mainly designed an 8-bit shift register.The shift register is mainly used for data conversion between parallel and serial, and the data processing tool operation or professional,its main structure is the trigger composition,flip-flop is a storage function,it can be used to store more hexadecimal code,In general N-bits register is composed of N trigger.Working principle of the shift register data under the action of the pulse, mainly the effect of the shift to the left or right,input and output of the way of serial and parallel free combination.This design is in Tanner on the EDA software platform to 8 bits shift register circuit design and simulation,then according to the circuit diagram on special L - Edit platform to complete the circuit layout implementation,until the finish is consistent with the results and expected results. Keywords:Tanner EDA;L-Edit;Shift register,S-Edit

移位寄存器 第三章答案

第三章习题参考答案 1.画出以1)(2 4 6 +++=x x x x f 为联接多项式的线性移位寄存器逻辑框图,及其对应的状态图。 解:由1)(2 46+++=x x x x f ,得反馈函数为531621),,,(x x x x x x f ++=Λ,故 (1)逻辑框图: (2)状态图: 状态圈-1: 状态圈-2: 状态圈-3: 状态圈-4: 状态圈-5: 状态圈-6: 状态圈-7: 状态圈-8:

状态圈-9: 状态圈-10: 状态圈-11: 状态圈-12: 2.已知图3-2所示的7级线性反馈移位寄存器: 图3-2 (1)绘出该移位寄存器的线性递推式,联接多项式及特征多项式。 (2)给出状态转移矩阵。 (3)设初态为(1 1 1 1 1 1 1),给出输出序列a 。 解:(1)由逻辑框图得,递推式为: k k k k a a a a ++=+++357 ()0≥k 。 联接多项式为:7 4 2 1)(x x x x f +++=。 特征多项式为:7531)(~ x x x x f +++=

(2)状态转移矩阵:? ? ???? ? ?? ? ? ??0100000 101000000010001000100 000001000000011000000。 (3)输出序列:)111111111(ΛΛ=- a 。 3.设5级线性反馈移位寄存器的联接多项式为1)(2 5 ++=x x x f ,初态为(10101)。求输出序列a 。 解:由联接多项式得,反馈函数为:41521),,,(x x x x x f +=Λ。故以)10101(为初态的状态转移图为: 10101 01010001010001000001100000100000100100100100110100110100110100110100111100111100111101111101111001110001110001110000110010110110111110101110101110101110101→→→→→→→→→→→→→→→→→→→→→→→→→→→→→→→ 由此可得,输出序列为:=a 44444443444444421一个周期 0110100100000011111001010111011…。 4.证明:n 级线性反馈移位寄存器的状态转移变换是n 维线性空间n F 2上的线性变换。 证明:设f T 为n 级线性移位寄存器的状态转移变换,对n F 2,∈?βα,令),,,(110-=n a a a Λα, ),,,(110-=n b b b Λβ,有: ),,,(),,,()(121110∑=--==n i i n i n f f a c a a a a a T T ΛΛα, ),,,(),,,()(1 21110∑=--==n i i n i n f f b c b b b b b T T ΛΛβ。 ) ()() ,,,(),,,() )(,,,() ,,,()(1 211 2112211111100βαβαf f i n n i i i n n i i n i i n i n i n n f f T T b c b b a c a a b a c b a b a b a b a b a T T +=+=+++=+++=+-=-==----∑∑∑ΛΛΛΛ 对 2F k ∈?, ))((),,,(),,,()(1 21110ααf i n n i i n f f T k a c k ka ka ka ka ka T k T ===-=-∑ΛΛ。 故n 级线性反馈移位寄存器的状态转移变换是n 为线性空间n F 2上的线性变换。

基于Verilog设计的双向32位移位寄存器

双向移位寄存器 摘要:系统使用EDA技术设计了具有移位功能的寄存器,采用硬件描述语言VHDL进行设计,然 后进行编程,时序仿真。软件基于Verilog语言实现了双向32位移位寄存器的控制功能。通过本设 计熟悉QuartusII环境下的硬件描述操作流程,掌握基本的Verilog语法与编写风格。 关键字:EDA;Verilog;32位双向移位寄存器 1.引言 随着社会的发展,科学技术也在不断的进步。特别是计算机产业,可以说是日新月异,移位寄存器作为计算机的一个重要部件,从先前的只能做简单的左移或右移功能的寄存器到现在广泛应用的具有寄存代码、实现数据的串行-并行转换、数据运算和数据处理功能的移位寄存器。近年来,集成电路和计算机应用得到了高速发展,现代电子设计技术已迈入一个崭新的阶段,具体表现在:(1)电子器件及其技术的发展将更多地趋向于为EDA服务; (2)硬件电路与软件设计过程已高度渗透; (3)电子设计技术将归结为更加标准、规范的EDA工具和硬件描述语言HDL的运用; (4)数字系统的芯片化实现手段已成主流。 因此利用计算机和大规模复杂可编程逻辑器件进行现代电子系统设计已成为电子工程类技术人员必不可少的基本技能之一。 移位寄存器正在向着功能强,体积小,重量轻等方向不断发展,本设计主要介绍的是一个基于超高速硬件描述语言VHDL对32位双向移位寄存器进行编程实现。 2.课程设计的目的 在计算机中常要求寄存器有移位功能。如在进行乘法时,要求将部分积右移;在将并行传送的数转换成串行数时也需要移位。因此,移位寄存器的设计是必要的。 本次设计的目的就是利用计算机组成原理中移位寄存器的相关知识,通过课程设计更加深入的了解移位寄存器的功能。了解EDA技术,并掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL语言结合计算机组成原理中的相关知识理论联系实际,掌握所学的课程知识。通过对移位寄存器的设计,巩固和综合运用所学知识,提高对计算机组成原理的理解。 3.课程设计的内容 本课程设计是带有32位双向移位寄存器。CLK是移位时钟信号,load是并行数据预置使能信号,QB是串行输出端口。此移位寄存器的工作方式是:当CLK的上升沿到来时过程被启动,如果这时预置使能load为低电平,LEFT_RIGHT为低电平,循环右移;如果预置使能load为低电平,LEFT_RIGHT 为高电平,循环左移。 4 Verilog HDL介绍 4.1Verilog语言的特点

实验室仪器设备期间核查操作规程

实验室仪器设备期间核 查操作规程 集团文件版本号:(M928-T898-M248-WU2669-I2896-DQ586-M1988)

实验室仪器设备期间核查 操作规程 辽宁省水环境监测中心 2010年4月25日 目录 一.TAS-990型、SP-3520型、SP-3530型原子吸收分光光度计二.SYG-1型、 SYG-2型智能冷原子荧光测汞仪 三.721分光光度计 四.2000型分光光度计 五.酸度计 六.手提式电压力蒸汽消毒器 七.电子天平 八.电导率仪 九.红外测油仪 十.紫外分光光度计 十一.原子荧光光度计 核查的目 仪器设备期间核查,主要检查仪器设备在两次校准间隔时间内,对仪器设备进行等精度的核查,它是解决仪器设备在使用过程中稳定不稳定的问题,及时发现,及时解决,降低风险。 核查的方法

使用稳定性较好的核查器件(如:砝码),在一定的时间间隔对仪器设备的功能进行核查,或使用两台精度相同的仪器设备进行比对,或用一台精度相对高的仪器核查相对精度低的仪器设备。上述三种方法都可以。但实验室应预先识别,根据识别(如:检测主要参数、稳定性差、使用频率高),制定计划,实施核查,记录核查结果。 电子天平配置标准砝码,可进行外校。721分光光度计有镨钕滤光片对波长校正,原子吸收这类大型仪器最简单最直接的方法是用标准物质(盲样)进行测定。最好是对仪器性能进行测试,如静态指标可分为波长准确度,分辨率,稳定性。波长准确度用汞灯,分辨率用锰灯,稳定性用铜灯。 核查的依据 1)JJG694-2009原子吸收分光光度计检定规程 2)JJG548-2004测汞仪检定规程 3)JJG119-2005酸度计检定规程 4)JJG1036-2008电子天平检定规程 5)JJG376-2007 电导率仪检定规程 6)JJG178-2007紫外、可见、近红外分光光度计检定规程 7)JJG950-2000红外测油仪 8)JJG537-2006荧光光计检定规程 一.TAS-990型、SP-3520型、SP-3530型原子吸收分光光度计1.波长准确度与重复性

主要试验设备操作规程

试验设备操作规程

1 水泥抗折机操作规程: (1) 使用前先将砝码移到零点,检查机器杠杆是否水平。 (2) 试验将试件放正,调节首轮,根据试件的龄期及强度,将杠杆距 水平向上扬起一定的角度,在试件折断时,使杠杆达到水平。 (3) 接通电源,按起动电纽。 (4) 试验完成记录强度值,将游动砝码复原。 (5) 机器用完后,将机器擦拭干净。 2 水泥净浆胶砂搅拌机 (1) 接通电源,指示灯亮后,按启动电钮。 (2) 试验中,严格按照规定的实验方法进行操作。 (3) 试验完毕自动停机后,切断电源。 (4) 将试验机清刷干净,用棉丝或布将机身擦干净。 3 水泥胶砂振实台 (1) 接通电源。 (2) 试验时,必须将试模卡紧,漏斗放正,防止偏心受震或试模移动。 (3) 试验完毕,自动停机后切断电源。 (4) 将试验机清刷干净。 (5) 注意经常检查加润滑油。 4 摇筛机使用操作规程

(1) 将标准筛按顺序放在筛座上,注意放平整。 (2) 将砂或石等材料, 放入标准筛, 然后压盖好上盖。 (3) 接通电源, 定好定时器,该机自动在指定时间内往返无运动。 待定时器到选定时间的定位,振筛机就自动停止工作。 (4) 试验完毕后, 切断电源, 取下标准筛分层依次称量。 (5) 注意振筛机在工作时,不得用手扶或身体靠近机器。 5 混凝土抗渗机操作规程 (1) 试验前必须用洁净水将储水罐储满。 (2) 将测试模及试件 ,按要求固定装好。 (3) 接通电源 ,设定好仪表数据,启动水泵。 (4) 试验时,水压从0.1Mpa开始,以后每隔8小时自动加压0.1Mpa。 (5) 试验过程中, 随时观察试件上端有无渗水现象, 做好渗水时间及 部位记录和签字。 (6) 试验完毕切断电源后, 拆下试件 ,将抗渗机擦拭干净。注意保持 地面没有积水,以防漏电。 6 60升混凝土搅拌机使用操作规程 (1) 起运前首先检查旋转部分与料筒是否有刮碰现象,如有刮碰现 象应及时调整。 (2) 清理料筒内的杂物。 (3) 起动前应将筒底限位,方能起动。 (4) 搅拌轴旋转方向按筒体端面标记所示。

74HC164 串入、并出8 位移位寄存器

8 位串入、并出移位寄存器 1. 概述 74HC164、74HCT164 是高速硅门 CMOS 器件,与低功耗肖特基型 TTL (LSTTL) 器件的引脚兼容。74HC164、74HCT164 是 8 位边沿触发式移位寄存器,串行输入数据,然后并行输出。数据通过两个输入端(DSA 或 DSB)之一串行输入;任一输入端可以用作高电平使能端,控制另一输入端的数据输入。两个输入端或者连接在一起,或者把不用的输入端接高电平,一定不要悬空。 时钟 (CP) 每次由低变高时,数据右移一位,输入到 Q0, Q0 是两个数据输入端(D SA 和 DSB)的逻辑与,它将上升时钟沿之前保持一个建立时间的长度。 主复位 (MR) 输入端上的一个低电平将使其它所有输入端都无效,同时非同步地清除寄存器,强制所有的输出为低电平。 2. 特性 ?门控串行数据输入 ?异步中央复位 ?符合JEDEC 标准no. 7A ?静电放电(ESD) 保护: ·HBM EIA/JESD22-A114-B 超过2000 V ·MM EIA/JESD22-A115-A 超过200 V 。 ?多种封装形式 ?额定从-40 °C 至+85 °C 和-40 °C 至+125 °C 。 3. 功能图 图 1. 逻辑符号

图 2. IEC 逻辑符号 图 3. 逻辑图 图 4. 功能图 4. 引脚信息

图 5. DIP14、SO14、SSOP14 和 TSSOP14 封装的引脚配置 引脚说明 74HC164中文资料(功能,真值表,引脚图及电气参数介绍) SN54HC164,/SN74HC164是8位移位寄存器,当其中一个(或二个)选通串行输入端的低电平禁止进入新数据,并把第一个触发器在下一个时钟脉冲来后复位到低电平时,门控串行输入端(A 和B)可完全控制输入数据。一个高电平输入后就使另一个输入端赋能,这个输入就决定了第一个触发器的状态。虽然不管时钟处于高电平或低电平时,串行输入端的数据都可以被改变,但只有满足建立条件的信息才能被输入。时钟控制发生在时钟输入由低电平到高电平的跃变上。为了减小传输线效应,所有输入端均采用二极管钳位。 https://www.wendangku.net/doc/2c1875311.html,/info/cmos/0083928.html H=高电平(稳定态)L=低电平(稳定态)×=不定↑=从低电平转换到高电平 QA0…QH0=在稳定态输入条件建立前QA…QH 的相应电平 QAn…QHn=在最近的时钟输入条件(↑)建立前QA…QH 的相应电平,表示移位一位

作业参考答案3级线性反馈移位寄存器在c3=1时可有4种

第二章作业参考答案 1.3级线性反馈移位寄存器在c3=1时可有4种线性反馈函数,设其初始状态为(a1,a2,a3)=(1,0,1),求各线性反馈函数的输出序列及周期。 解:此时线性反馈函数可表示为f(a1,a2,a3)=a1c2a2c1a3 当c1=0,c2=0时,f(a1,a2,a3)=a1c2a2c1a3=a1, 输出序列为101101…,周期=3 当c1=0,c2=1时,f(a1,a2,a3)=a1c2a2c1a3=a1a2, 输出序列为10111001011100…,周期=7 当c1=1,c2=0时,f(a1,a2,a3)=a1c2a2c1a3=a1a3, 输出序列为10100111010011…,周期=7 当c1=1,c2=1时,f(a1,a2,a3)=a1c2a2c1a3=a1a2a3, 有输出序列为1010…,周期=2 2.设n级线性反馈移位寄存器的特征多项式为p(x),初始状态为(a1,a2, …,a n-1,a n)=(00…01),证明输出序列的周期等于p(x)的阶 证:设p(x)的阶为p,由定理2-3,由r|p,所以r p 设A(x)为序列{a i}的生成函数,并设序列{a i}的周期为r,则显然有A(x)p(x)=(x) 又A(x)=a1+a2x+…+a r x r-1+x r(a1+a2x+…+a r x r-1)+(x r)2(a1+a2x+…+a r x r-1)+… =a1+a2x+…+a r x r-1/(1-x r)=a1+a2x+…+a r x r-1/(x r-1) 于是A(x)=(a1+a2x+…+a r x r-1)/(x r-1)=(x)/p(x) 又(a1,a2, …,a n-1,a n)=(00…01) 所以p(x)(a n x n-1+…+a r x r-1)=(x)(x r-1) 即p(x)x n-1(a n+…+a r x r-n)=(x)(x r-1) 由于x n-1不能整除x r-1,所以必有x n-1|(x),而(x)的次数小于n,所以必有(x)=x n-1 所以必有p(x)|(x r-1),由p(x)的阶的定义知,阶p r 综上所述:p=r # 3.设n=4,f(a1,a2,a3,a4)=a1a41a2a3,初始状态为(a1,a2,a3,a4)=(1,1,0,1),求此非线性反馈移位寄存器的输出序列及周期。 解:由反馈函数和初始状态得状态输出表为 (a4 a3 a2 a1) 输出 (a4 a3 a2 a1) 输出 1 0 1 1 1 1 1 1 1 1 1 1 0 1 1 0 1 1 1 1 1 1 1 0 0 1 0 1 1 1(回到初始状态) 所以此反馈序列输出为:11011…周期为5 4.设密钥流是由m=2s级LFSR产生,其前m+2个比特是(01)s+1,即s+1个01。问第m+3个比特有无可能是1,为什么? 解:不能是1。 可通过状态考察的方法证明以上结论。 首先m级LFSR的状态是一个m维的向量,则前m个比特构成一个状态S0,可表示为(01)s, 第m+1个比特是0,所以S0的下一个状态是S1=(10)s, 第m+2个比特是1,所以S1的下一个状态是S2=(01)s=S0,回到状态S0, 所以下一个状态应是S3=S1=(10)s,也即第m+3个比特应该为0。 5.设密钥流是由n级LFSR产生,其周期为2n-1,i是任一正整数,在密钥流中考虑以下比特对

相关文档
相关文档 最新文档