文档库 最新最全的文档下载
当前位置:文档库 › 4 脉冲信号产生电路共23页文档

4 脉冲信号产生电路共23页文档

4 脉冲信号产生电路共23页文档
4 脉冲信号产生电路共23页文档

4 脉冲信号产生电路

4.1 实验目的

1.了解集成单稳态触发器的基本功能及主要应用。

2.掌握555定时器的基本工作原理及其性能。

3.掌握用555定时器构成多谐振荡器、单稳态触发器的工作原理、设计及调试方法。

4.2 实验原理

1.集成单稳态触发器及其应用

在数字电路的时序组合工作中,有时需要定时、延时电路产生定时、展宽延时等脉冲,专门用于完成这种功能的IC,就是“单稳延时多谐振荡器”,也称“单稳触发器”。其基本原理是利用电阻、电容的充放电延时特性以及电平比较器对充放电电压检测的功能,实现定时或延时,只需按需要灵活改变电阻、电容值大小,就可以取得在一定时间范围的延时或振荡脉冲输出。常用的器件有LS121/122、LS/HC123、LS/HC221、LS/HC423、HC/C4538及CC4528B等。

集成单稳态触发器在没有触发信号输入时,电路输出Q=0,电路处于稳态;当输入端输入触发信号时,电路由稳态转入暂稳态,使输出Q=1;待电路暂稳态结束,电路又自动返回到稳态Q=0。在这一过程中,电路输

出一个具有一定宽度的脉冲,其宽度与电路的外接定时元件C

ext 和R

ext

的数

值有关。

图4-1

集成单稳态触发器有非重触发和可重触发两种,74LS123是一种双可重触发的单稳态触发器。它的逻辑符号及功能表如图4-1、表4-1所示。

在表4-1中“正”为正脉冲,“负”为负脉冲。

LS/HC123的特点是,复位端CLR也具有上跳触发单稳态过程发生的功能。

在C

ext >1000pF时,输出脉冲宽度t

w

≈0.45R

ext

C

ext

器件的可重触发功能是指在电路一旦被触发(即Q=1)后,只要Q还未恢复到0,电路可以被输入脉冲重复触发,Q=1将继续延长,直至重复触发的最后一个触发脉冲的到来后,再经过一个t

w

(该电路定时的脉冲宽度)时间,Q才变为0,如图4-2所示:

图4-2

74LS123的使用方法:

(1)有A和B两个输入端,A为下降沿触发,B为上升沿触发,只有AB=1时电路才被触发。

(2)连接Q和A或Q与B,可使器件变为非重触发单稳态触发器。

(3)CLR=0时,使输出Q立即变为0,可用来控制脉冲宽度。

(4)按图4-3、3-5-4连接电路,可组成一个矩形波信号发生器,利用开关S瞬时接地,使电路起振。

图4-3

图4-4

2.555时基电路及其应用

555时基电路是一种将模拟功能和数字逻辑功能巧妙地结合在同一硅片上的新型集成电路,又称集成定时器,它的内部电路框图如图4-5所示。

图4-5

电路主要由两个高精度比较器C

1、C

2

以及一个RS触发器组成。比较器

的参考电压分别是2/3V

CC 和1/3V

CC

,利用触发器输入端TR输入一个小于

1/3V

CC 信号,或者阈值输入端TH输入一个大于2/3V

CC

的信号,可以使触发

器状态发生变换。CT是控制输入端,可以外接输入电压,以改变比较器的参考电压值。在不接外加电压时,通常接0.01μF电容到地,DISC是放电输入端,当输出端的F=0时,DISC对地短路,当F=1时,DISC对地开路。

R D 是复位输入端,当R

D

=0时,输出端有F=0。

器件的电源电压V

CC

可以是+5V~+15V,输出的最大电流可达200mA,当

电源电压为+5V时,电路输出与TTL电路兼容。555电路能够输出从微秒级到小时级时间范围很广的信号。

(1)组成单稳态触发器

555电路按图4-6连接,即构成一个单稳态触发器,其中R、C是外接定时元件。单稳态触发器的输出脉冲宽度t

w

≈1.1RC。

图4-6

(2)组成自激多谐振荡器

图4-7 自激多谐振荡器电路

按图4-7连接,即连成一个自激多谐振荡器电路,此电路的工作过程

与单稳态触发器工作过程不同之处,是电路没有稳态,仅存在两个暂稳态。电路不需要外加触发信号,利用电源通过R 1、R 2向C 充电,以及C 通过R 2向放电端DISC 放电,使电路产生振荡。输出信号的时间参数是:T=T 1+T 2

其中: T 1=0.7(R 1+R 2)C (正脉冲宽度) T 2=0.7R 2C (负脉冲宽度) T=0.7(R 1+2R 2)C

555电路要求R 1与R 2均应大于或等于1K Ω,但R 1+R 2应小于或等于3.3M Ω。

在上图4-7中接入部分元件,可以构成下述电路: ①若在电阻R 2上并接一只二极管(2AP3),并取R 1≈R 2,电路可以输出接近方波的信号。

②在C 与R 2连接点和TR 与TH 连接点之间的连接线上,串接入一个图中所示的晶体网络,电路便成为一个晶体振荡器。晶体网络中1M Ω电阻器作直流通路用,并联电容用来微调振荡器的频率。只要选择R 1、R 2和C ,使在晶体网络接入之前,电路振荡在晶体的基频(或谐频)附近,接入网络后,电路就能输出一个频率等于晶体基频(或谐频)的稳定振荡信号。

③组成施密特触发器

利用控制输入端CT 接入一个稳定的直流电压。被变换的信号同时从TR 和TH 端输入,即可输出整形后的波形(电路的正向阈值电压与CT 端电压相等,负向阈值电压是CT 端电压的1/2。)。 4.3 实验内容

1.使用555时基电路组成图4-7所示电路,取R 1=R 2=4.7K Ω,C=C 0=0.01μF 。

(1)用示波器观察并记录触发输入端TR 和输出端F 的工作波形,读出输出信号的周期T 和正脉冲宽度t w 的值;

(2)用通用计数器测量与记录输出信号的T 与t w 值;

(3)将上述两种测试结果与理论计算值比较,分析实验误差。 本实验电路保留勿拆,将作为下面实验任务的信号源使用。

2.用555定时器设计一个单稳态触发器,要求其输出脉冲宽度为600μs ,选择定时电容C=0.1μF ,选择任务1的输出信号V O 作为触发输入信号,确定并通过调整定时电阻,使实际电路符合设计要求。

要求:

(1)画出实验电路。

(2)用示波器测量并按同一时间坐标画出所设计的单稳态触发器的输入、输出波形。

3.使用任务1输出的矩形波上升沿,驱动一个由集成单稳态触发器(74LS123)组成的单稳态电路,要求输出一个正脉冲宽度t w =20μs 的矩形波信号。

(1)取外接定时电容C=0.1μF ,计算外接电阻器阻值(取标称值),画出电路图;

(2)观察并记录输入、输出的工作波形;

值。

(3)用通用计数器实测电路输出的正脉冲宽度t

w

4.4 实验报告要求

1.实验目的。

2.实验设备。

3.整理实验数据及相应电路的波形。

4.画出任务1与任务2、3联调实验电路图。

5.写出实验中各电路脉宽估算值,并与实验结果对照分析。

4.5 实验设备与器材

1.逻辑实验仪1台

2.双踪示波器1台

3.万用表1只

4.器材

74LS123 2片

555定时器 2片

电阻、电容若干

第六章脉冲产生、整形电路

教学目标、要求:掌握555定时器构成的多谐振荡器、石英晶体多谐振荡器及其应用;掌握555定时器构成的施密特触发器及其应用;熟悉集成施密特触发器;掌握555定时器构成的单稳态触发器及其应用;熟悉集成单稳态触发器。

内容提要:多谐振荡器;施密特触发器;单稳态触发器。

重点、难点:多谐振荡器,施密特触发器和单稳态触发器电路的原理和应用;555集成定时器的工作原理及逻辑功能,555集成定时器的基本应用电路。

教学方法:启发式、讨论式、探究时,理论、实验和实际应用有机结合。

教具、课件:多媒体装置、投影机、幻灯片等。

教学学时:6学时

概述

脉冲信号是指既非直流又非正弦的信号。如矩形波、三角波、锯齿波等。

一.脉冲分类

根据波形的不同,分为如下几类:

二.脉冲概念

关于脉冲的几个参数:

脉冲幅度Vm——电压最大值

上升时间(前沿时间)t

——由0.1Vm上升到0.9Vm所需的时间

r

——由0.9Vm下降到0.1Vm所需的时间下降时间(后沿时间)t

f

——前后沿0.5Vm之间的时间

脉冲宽度t

w

脉冲周期T——两相邻脉冲对应点之间的时间

占空比D——D = t

/T

w

555定时器

555定时器是电子工程领域中广泛使用的一种中规模集成电路,它将模拟与逻辑功能巧妙地组合在一起,具有结构简单、使用电压范围宽、工作速度快、定时精度高、驱动能力强等优点。555定时器配以外部元件,可以构成多种实际应用电路。广泛应用于产生多种波形的脉冲振荡器、检测电路、自动控制电路、家用电器以及通信产品等电子设备中。

1. 555定时器的分类

555定时器又称时基电路。555定时器按照内部元件分有双极型(又称TTL型)和单极型两种。双极型内部采用的是晶体管;单极型内部采用的则是场效应管。

555定时器按单片电路中包括定时器的个数分有单时基定时器和双时

基定时器两种。

常用的单时基定时器有双极型定

时器5G555(管脚排列如图6.2所示)

和单极型定时器CC7555。双时基定时器

有双极型定时器5G556和单极型定时器

图6.2 5G555管脚排

CC7556。

2. 555定时器的电路组成

5G555定时器内部电路如图所示,一般由分压器、比较器、触发器和开关及输出等四部分组成。

(1)由三个阻值为5kΩ的电阻组成的分压器;

(2)两个电压比较器C 1和C 2: v +>v -,v o =1;

v +<v -,v o =0。

(3)基本RS 触发器;

(4)放电三极管T 及缓冲器G 。

3. 555定时器的功能以单时基双极型国产5G555定时器为例,其功能如表所示。

5G555定时器功能表

R

U TH

TR U 0u T 的状态 0 ×

×

0 导通 1 CC V 32

> CC V 31

> 0

导通 1

CC V 3

2

< CC V 3

1

> 保持原状态不

不变

1

CC V 32< CC V 3

1< 1 截止

①=0时,=1,0=0,T 饱和导通。②R =1、TH U CC V 32>、CC TR V U 3

1>时,C1=0、C2=1,Q =1、Q =0,0u =0,T 饱和导通。

③R =1、TH U CC V 3

2<、CC TR V U 3

1>时,C1=1、C2=1,Q 、Q 不变,0u 不变,T 状态不变。

④R =1、TH U CC V 3

2<、CC TR V U 3

1<时,C1=1、C2=0,Q =0、Q =1,0u =1,T 截止。

6.1 多谐振荡器

多谐振荡器——产生矩形脉冲波的自激振荡器。

多谐振荡器一旦起振之后,电路没有稳态,只有两个暂稳态,它们做交替变化,输出连续的矩形脉冲信号,因此它又称作无稳态电路,常用来做脉冲信号源。

一. 用555定时器构成的多谐振荡器

1. 电路组成及工作原理

2. 振荡频率的估算

(1)电容充电时间T 1。电容充电时,时间常数τ1=(R 1+R 2)C ,起始值v C (0+)=cc V 3

1,终了值v C (∞)=V CC ,转换值v C (T 1)=cc V 3

2,带入RC 过

渡过程计算公式进行计算:

(2) 电容放电时间T 2

电容放电时,时间常数τ2=R 2C ,起始值v C (0+)=cc V 3

2,终了值v C (∞)

=0,转换值v C (T 2)=cc V 3

1,带入RC 过渡过程计算公式进行计算:

(3)电路振荡周期T

T =T 1+T 2=0.7(R 1+2R 2)C

(4)电路振荡频率f (5)输出波形占空比q

定义:q =T 1/T ,即脉冲宽度与脉冲周期之比,称为占空比。

二. 占空比可调的多谐振荡器电路

在上述电路中,由于电容C 的充电时间常数τ1=(R 1+R 2)C ,放电时间常数τ2=R 2C ,所以T 1总是大于T 2,v O 的波形不仅不可能对称,而且占空比

q 不易调节。利用半导体二极管的单向导电特性,把电容C 充电和放电回

路隔离开来,再加上一个电位器,便可构成占空比可调的多谐振荡器,如图所示。

占空比可调的多谐振荡器

由于二极管的引导作用,电容C 的充电时间常数τ1=R 1C ,放电时间常数τ2=R 2C 。通过与上面相同的分析计算过程可得

T 1=0.7R 1C

T 2=0.7R 2C

占空比:2

11

21121117.07.07.0R R R C R C R C R T T T T T q +=

+=+==

只要改变电位器滑动端

的位置,就可以方便地调节占空比q ,当R 1=R 2时,q =0.5,v O 就成为对称的矩形波。

三. 石英晶体多谐振荡器

在许多数字系统中,都要求时钟脉冲频率十分稳定,例如在数字钟表里,计数脉冲频率的稳定性,就直接决定着计时的精度。在上面介绍的多谐振荡器中,由于其工作频率取决于电容C 充、放电过程中,电压到达转换值的时间,因此稳定度不够高。这是因为第一,转换电平易受温度变化和电源波动的影响;第二,电路的工作方式易受干扰,从而使电路状态转换提前或滞后;第三,电路状态转换时,电容充、放电的过程已经比较缓

慢,转换电平的微小变化或者干扰,对振荡周期影响都比较大。一般在对振荡器频率稳定度要求很高的场合,都需要采取稳频措施,其中最常用的一种方法,就是利用石英谐振器—简称石英晶体或晶体,构成石英晶体多谐振荡器。

1.石英晶体的选频特性

有两个谐振频率。当f=f s时,为串联谐振,石英晶体的电抗X=0;

当f=f p时,为并联谐振,石英晶体的电抗无穷大。

由晶体本身的特性决定:f s≈f p≈f0(晶体的标称频率)

石英晶体的选频特性极好,f0十分稳定,其稳定度可达10-10~10-11。

石英晶体的电抗频率特性和符号

2. 石英晶体多谐振荡器

(1)串联式振荡器

R1、R2的作用——使两个反相器在静态时都工作在转折区,成为具有很强放大能力的放大电路。

对于TTL门,常取R1=R2=0.7~2kΩ,若是CMOS门则常取R1=R2=10~100M Ω;C1=C2是耦合电容。

石英晶体工作在串联谐振频率f0下,只有频率为f0的信号才能通过,满足振荡条件。因此,电路的振荡频率= f0,与外接元件R、C无关,所以这种电路振荡频率的稳定度很高。

石英晶体多谐振荡器

(2)并联式振荡器

R F是偏置电阻,保证在静态时使G1工作转折区,构成一个反相放大器。

晶体工作在f S与f P之间,等效一电感,与C1、C2共同构成电容三点式振荡电路。电路的振荡频率= f0。

反相器G2起整形缓冲作用,同时G2还可以隔离负载对振荡电路工作的影响。

CMOS石英晶体多谐振荡器

四.多谐振荡器应用实例

1. 简易温控报警器

下图是利用多谐振荡器构成的简易温控报警电路,利用555构成可控音频振荡电路,用扬声器发声报警,可用于火警或热水温度报警,电路简单、调试方便。

图中晶体管T可选用锗管3AX31、3AX81或3AG类,也可选用3DU型光敏管。3AX31等锗管在常温下,集电极和发射极之间的穿透电流I CEO一般在10~50μΑ,且随温度升高而增大较快。当温度低于设定温度值时,晶体管T的穿透电流I CEO较小,555复位端R D(4脚)的电压较低,电路工作在复位状态,多谐振荡器停振,扬声器不发声。当温度升高到设定温度值时,晶体管T的穿透电流I CEO较大,555复位端R D的电压升高到解除复位状态之电位,多谐振荡器开始振荡,扬声器发出报警声。

多谐振荡器用作简易温控报警电路

需要指出的是,不同的晶体管,其I CEO值相差较大,故需改变R1的阻值来调节控温点。方法是先把测温元件T置于要求报警的温度下,调节R1使电路刚发出报警声。报警的音调取决于多谐振荡器的振荡频率,由元件R2、R3和C1决定,改变这些元件值,可改变音调,但要求R2大于1kΩ。

2. 双音门铃

下图是用多谐振荡器构成的电子双音门铃电路。

当按钮开关AN按下时,开关闭合,V CC经D2向C3充电,P点(4脚)电位迅速充至V CC,复位解除;由于D1将R3旁路,V CC经D1、R1、R2向C充电,充电时间常数为(R1+R2)C,放电时间常数为R2 C,多谐振荡器产生高频振荡,喇叭发出高音。

当按钮开关AN松开时,开关断开,由于电容C3储存的电荷经R4放电要维持一段时间,在P点电位降至复位电平之前,电路将继续维持振荡;但此时V CC经R3、R1、R2向C充电,充电时间常数增加为(R3+R1+R2)C,放电时间常数仍为R2 C,多谐振荡器产生低频振荡,喇叭发出低音。

当电容C3持续放电,使P点电位降至555的复位电平以下时,多谐振荡器停止振荡,喇叭停止发声。

调节相关参数,可以改变高、低音发声频率以及低音维持时间。

用多谐振荡器构成的双音门铃电路

3. 秒脉冲发生器

CMOS石英晶体多谐振荡器产生f=32768Hz的基准信号,经T/触发器构成的15级异步计数器分频后,便可得到稳定度极高的秒信号。这种秒脉冲发生器可做为各种计时系统的基准信号源。

秒脉冲发生器

4. 模拟声响电路

将振荡器Ⅰ的输出电压u o1,接到振荡器Ⅱ中555定时器的复位端(4脚),当u o1为高电平时振荡器Ⅱ振荡,为低电平时555定时器复位,振荡器Ⅱ停止震荡。

6.2 施密特触发器

施密特触发器——具有回差电压特性,能将边沿变化缓慢的电压波形整形为边沿陡峭的矩形脉冲。

一. 用555定时器构成的施密特触发器

1. 电路组成及工作原理

555定时器构成的施密特触发器

(1) v I =0V 时,v o1输出高电平。

(2)当v I 上升到cc V 3

2时,v o1输出低电平。当v I 由cc V 3

2继续上升,v o1

保持不变。

(3)当v I 下降到cc V 3

1时,电路输出跳变为高电平。而且在v I 继续下

降到0V 时,电路的这种状态不变。

图中,R 、V CC2构成另一输出端v o2,其高电平可以通过改变V CC2进行调节。

2. 电压滞回特性和主要参数 电压滞回特性

施密特触发器的电路符号和电压传输特性

主要静态参数

(1) 上限阈值电压V T+——v I 上升过程中,输出电压v O 由高电平V OH

跳变到低电平V OL 时,所对应的输入电压值。V T+=cc V 3

2。

(2)下限阈值电压V T ———v I 下降过程中, v O 由低电平V OL 跳变到高电平V OH 时,所对应的输入电压值。V T —=cc V 3

1。

第六章脉冲波形的产生与整形电路数字电子技术习题集

第六章 一、选择题 1.脉冲整形电路有。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.555定时器2.多谐振荡器可产生。 A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 3.石英晶体多谐振荡器的突出优点是。 A.速度高 B.电路简单 C.振荡频率稳定 D.输出波形边沿陡峭 4.T T L单定时器型号的最后几位数字为。 A.555 B.556 C.7555 D.7556 5.555定时器可以组成。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器6.用555定时器组成施密特触发器,当输入控制端C O外接10V电压时,回差电压为。 A.3.33V B.5V C.6.66V D.10V 7.以下各电路中,可以产生脉冲定时。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.石英晶体多谐振荡器 二、判断题(正确打√,错误的打×) 1.施密特触发器可用于将三角波变换成正弦波。() 2.施密特触发器有两个稳态。() 3.多谐振荡器的输出信号的周期与阻容元件的参数成正比。() 4.石英晶体多谐振荡器的振荡频率与电路中的R、C成正比。() 5.单稳态触发器的暂稳态时间与输入触发脉冲宽度成正比。() 6.单稳态触发器的暂稳态维持时间用t W表示,与电路中R C成正比。() 7.采用不可重触发单稳态触发器时,若在触发器进入暂稳态期间再次受到触发,输出脉宽可在此前暂稳态时间的基础上再展宽t W。()

8.施密特触发器的正向阈值电压一定大于负向阈值电压。() 三、填空题 1.555定时器的最后数码为555的是产品,为7555的是 产品。 2.施密特触发器具有现象,又称特性;单稳触发器最重 要的参数为。 3.常见的脉冲产生电路有,常见的脉冲整形电路有、。 4.为了实现高的频率稳定度,常采用振荡器;单稳态触发器受到外触发时进入态。 四、练习题 1. 如图所示的单稳态触发器电路中,G 1 和G 2 为CMOS 或非门,电源电压V DD =15V 。已知R d = 100k Ω,R = 51 k Ω,C d = 1000pF ,C = 0.01 μ F 。试计算输出脉冲的宽度和幅度。 2. TTL 与非门和二极管D 组成的施密特触发器电路如图所示。已知与非门的V T = 1.1V ,二极管导通压降V D = 0.7V 。试求电路的V T+ 、V T -和回差电压△ V 的值。

4 脉冲信号产生电路共23页文档

4 脉冲信号产生电路 4.1 实验目的 1.了解集成单稳态触发器的基本功能及主要应用。 2.掌握555定时器的基本工作原理及其性能。 3.掌握用555定时器构成多谐振荡器、单稳态触发器的工作原理、设计及调试方法。 4.2 实验原理 1.集成单稳态触发器及其应用 在数字电路的时序组合工作中,有时需要定时、延时电路产生定时、展宽延时等脉冲,专门用于完成这种功能的IC,就是“单稳延时多谐振荡器”,也称“单稳触发器”。其基本原理是利用电阻、电容的充放电延时特性以及电平比较器对充放电电压检测的功能,实现定时或延时,只需按需要灵活改变电阻、电容值大小,就可以取得在一定时间范围的延时或振荡脉冲输出。常用的器件有LS121/122、LS/HC123、LS/HC221、LS/HC423、HC/C4538及CC4528B等。 集成单稳态触发器在没有触发信号输入时,电路输出Q=0,电路处于稳态;当输入端输入触发信号时,电路由稳态转入暂稳态,使输出Q=1;待电路暂稳态结束,电路又自动返回到稳态Q=0。在这一过程中,电路输 出一个具有一定宽度的脉冲,其宽度与电路的外接定时元件C ext 和R ext 的数 值有关。 图4-1

集成单稳态触发器有非重触发和可重触发两种,74LS123是一种双可重触发的单稳态触发器。它的逻辑符号及功能表如图4-1、表4-1所示。 在表4-1中“正”为正脉冲,“负”为负脉冲。 LS/HC123的特点是,复位端CLR也具有上跳触发单稳态过程发生的功能。 在C ext >1000pF时,输出脉冲宽度t w ≈0.45R ext C ext 。 器件的可重触发功能是指在电路一旦被触发(即Q=1)后,只要Q还未恢复到0,电路可以被输入脉冲重复触发,Q=1将继续延长,直至重复触发的最后一个触发脉冲的到来后,再经过一个t w (该电路定时的脉冲宽度)时间,Q才变为0,如图4-2所示: 图4-2 74LS123的使用方法: (1)有A和B两个输入端,A为下降沿触发,B为上升沿触发,只有AB=1时电路才被触发。 (2)连接Q和A或Q与B,可使器件变为非重触发单稳态触发器。 (3)CLR=0时,使输出Q立即变为0,可用来控制脉冲宽度。 (4)按图4-3、3-5-4连接电路,可组成一个矩形波信号发生器,利用开关S瞬时接地,使电路起振。 图4-3 图4-4 2.555时基电路及其应用 555时基电路是一种将模拟功能和数字逻辑功能巧妙地结合在同一硅片上的新型集成电路,又称集成定时器,它的内部电路框图如图4-5所示。 图4-5 电路主要由两个高精度比较器C 1、C 2 以及一个RS触发器组成。比较器 的参考电压分别是2/3V CC 和1/3V CC ,利用触发器输入端TR输入一个小于 1/3V CC 信号,或者阈值输入端TH输入一个大于2/3V CC 的信号,可以使触发 器状态发生变换。CT是控制输入端,可以外接输入电压,以改变比较器的参考电压值。在不接外加电压时,通常接0.01μF电容到地,DISC是放电输入端,当输出端的F=0时,DISC对地短路,当F=1时,DISC对地开路。 R D 是复位输入端,当R D =0时,输出端有F=0。 器件的电源电压V CC 可以是+5V~+15V,输出的最大电流可达200mA,当 电源电压为+5V时,电路输出与TTL电路兼容。555电路能够输出从微秒级到小时级时间范围很广的信号。 (1)组成单稳态触发器 555电路按图4-6连接,即构成一个单稳态触发器,其中R、C是外接定时元件。单稳态触发器的输出脉冲宽度t w ≈1.1RC。 图4-6 (2)组成自激多谐振荡器 图4-7 自激多谐振荡器电路 按图4-7连接,即连成一个自激多谐振荡器电路,此电路的工作过程

顺序脉冲产生电路设计

沈阳航空航天大学 课程设计 (说明书) 顺序脉冲产生电路设计 班级计算机1304 学号2013040101178 学生姓名万延正 指导教师孙克梅

沈阳航空航天大学 课程设计任务书 课程名称数字逻辑课程设计 课程设计题目顺序脉冲产生电路设计 课程设计的内容及要求: 一、设计说明与技术指标 要求设计一个顺序脉冲产生电路,能将预先设定的并行数据转换为串行脉冲输出,具体要求如下: ①电路具有16个按键用来设定输入16个并行数据的高低电平; ②具有启动按键,每按一次启动键,电路就串行输出预先设定的16个数据; ③输出完16个数据位后电路停止,输出恒为0; ④具有输出信号指示灯,表明输出信号的高低电平,灯亮表示1,不亮表示0; ⑤具有时钟信号指示灯,在每个式中信号周期内闪烁一次。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1.阎石主编.数字电子技术基础.[M]北京:高等教育出版社,2006年 2.赵淑范,王宪伟主编.电子技术实验与课程设计.[M]北京:清华大学出版社,2006年 3.孙肖子、邓建国等主编. 电子设计指南. [M]北京:高等教育出版社,2006年 4.杨志忠主编. 电子技术课程设计. [M]北京:机械工业出版社,2008年 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 2015 年7 月19 日

一、概述 在数控装置和数字计算机中,往往需要机器按照人们事先规定的顺序进行运算和操作,这就要求控制电路不仅能正确的发出各种控制信号,而且要求这些控制信号在时间上有一定的先后顺序,能完成这样功能的电路称为顺序脉冲发生器。该顺序脉冲由555定时器产生,用16个开关设定输入16个并行数据的高低电平,每次按键,电路就会串行输出预先设定的16个数,输出完16个数据位后电路停止,输出恒为0。该电路具有输出信号指示灯,灯亮的次数表示输入高电平的个数。在每个周期内,时钟指示灯只闪烁一次。 一、方案论证 根据实验要求,我选取两片74LS165芯片将其串联,74LS165芯片是并行输入, 串行输出移位寄存器。从而实现电路具有16个按键用来设定输入16个并行数据的高低电平。电路主要由顺序脉冲产生电路,移位寄存电路,状态指示电路,电源电路组成。原理图如图1所示: 图1 总电路框架图 二、电路设计 1、时钟脉冲产生电路如图2所示。 图2 时钟脉冲产生电路

脉冲波形的产生与变换

脉冲波形的产生与变换 脉冲信号是数字电路中最常用的工作信号。脉冲信号的获得经常采用两种方法:一是利用振荡电路直接产生所需的矩形脉冲。这一类电路称为多谐振荡电路或多谐振荡器;二是利用整形电路,将已有的脉冲信号变换为所需要的矩形脉冲。这一类电路包括单稳态触发器和施密特触发器。这些脉冲单元电路可以由集成逻辑门构成,也可以用集成定时器构成。下面先来介绍由集成门构成的脉冲信号产生和整形电路。 9.1 多谐振荡器 自激多谐振荡器是在接通电源以后,不需外加输入信号,就能自动地产生矩形脉冲波。由于矩形波中除基波外,还含有丰富的高次谐波,所以习惯上又把矩形波振荡器叫做多谐振荡器。多谐振荡器通常由门电路和基本的RC电路组成。多谐振荡器一旦振荡起来后,电路没有稳态,只有两个暂稳态,它们在作交替变化,输出矩形波脉冲信号,因此它又被称作无稳态电路。 9.1.1门电路组成的多谐振荡器 多谐振荡器常由TTL门电路和CMOS门电路组成。由于TTL门电路的速度比CMOS门电路的速度快, 故TTL门电路适用于构成频率较高的多谐振荡器,而CMOS门电路适用于构成频率较低的多谐振荡器。 (1)由TTL门电路组成的多谐振荡器 由TTL门电路组成的多谐振荡器有两种形式:一是由奇数个非门组成的简单环形多谐振荡器;二是由非门和RC延迟电路组成的改进环形多谐振荡器。 ①简单环形多谐振荡器

(a) (b) 图9-1 由非门构成的简单环形多谐振荡器把奇数个非门首尾相接成环状,就组成了简单环形多谐振荡器。图9-1(a)为由三个非门构成的多谐振荡器。若uo的某个随机状态为高电平,经过三级倒相后,uo跳转为低电平,考虑到传输门电路的平均延迟时间tpd,uo输出信号的周期为6tpd。图9-1(b)为各点波形图。 简单环形多谐振荡器的振荡周期取决于tpd,此值较小且不可调,所以,产生的脉冲信号频率较高且无法控制,因而没有实用价值。改进方法是通过附加一个RC延迟电路,不仅可以降低振荡频率,并能通过参数 R、C控制振荡频率。 ② RC环形多谐振荡器 如图9-2所示,RC环形多谐振荡器由3个非门(G1、G2、G3)、两个电阻(R、RS)和一个电容C组成。电阻RS是非门G3的限流保护电阻,一般为100Ω左右;R、C为定时器件,R 的值要小于非门的关门电阻,一般在700Ω以下,否则,电路无常工作。此时,由于RC的值较大,从u2到u4的传输时间大大增加, 基本上由RC的参数决定,门延迟时间tpd可以忽略不计。 图9-2 RC环形多谐振荡器 a.工作原理 设电源刚接通时,电路输出端uo为高电平,由于此时电容器C尚未充电,其两端电压为零,则u2、u4为低电平。电路处于第1暂稳态。随着u3高电平通过电阻R对电容C充电,u4电

脉冲波形发生电路设计

脉冲波形发生电路设计一.实验目的 1.学习脉冲波形发生电路的设计方法和调试方法。 2.学习按模块划分电路的设计与调试的方法。二.555内部结构图和芯片引脚图 555内部结构图: 555引脚图:

三.红外发射管和光电三极管的工作原理 1.红外发射管: 红外光发射管具有单向导电性。只有当外加的正向电压使得正向电流足够大时才发射红外光,正向电流越大发光越强,其工作原理图参见图2(a)。此次实验中的R1 建议选取1k?。 2.光电三极管: 光电三极管依据光照强度来控制集电极电流的大小,其功能可等效为一只二极管与一只晶体管相连,并仅引出集电极和发射极,如图3(a)所示。其符号如图3(b)所示,常见外形如图3(c)所示。 有光照射时,光电三极管的集电极电流约在几十微安到几毫安之间,为保证光电三极管的输出电压Vo 可以正确驱动后面的数字IC,合理选取接收电路中R2 的阻值。其应用参考电路参见图2(b)。

四.实验任务及电路图 1.电路原理图 VCC VCC 2.设计思路 首先将555接成单稳态触发器,输出接发光二极管。 然后考虑输入。为了能在物体挡住光超过2秒以上电路仍然能够正常

运行,在输入端接入一个微分电路,保证输入脉宽不超过2秒。 同时因为前方光电三极管的输出电压在有光时为低电平,无光时为高电平,而电路要实现的功能是遮挡时发光二极管,所以在无光时应输入低电平,所以在光电三极管的输出与后方的输入间加了一个反相器。 最后考虑选作任务,首先要让发光三极管在被挡住时,LED一直亮,这个只需去掉微分电路就可以了。但是这样在光线重新照射时LED会马上灭掉,这是因为在遮挡时,555中的三极管是不导通的,所以C2两端是有压差的,即(见555内部结构图),这样在光线重新照射时,输入会跳为高电平,所以=1,Q=0,=0,内部三极管导通,=1,保持0,所以LED就会灭掉。而且是我们不希望出现的情况,因为上述分析是基于门电路的均较长的情况下分析的,实际上这些跳变都是瞬间完成的,所以之后电路的情况并不是能准确预测的。 解决这个问题的思路就是希望能在时,保持1,这样跳变为1之后就有,LED保持亮着,而且经过1~2秒后熄灭。 实现这个功能只需在那一个三极管的c、e端与C2并联,b端接输入即可。 为了在输入为低时三极管导通,选用PNP三极管。 3.参数计算 (1)R2阻值的选取: 为保证在有光时vo输出的是低电平,则R2上的压降应接近5V,以10微安计算,则R2应取100 k?左右。 (2)R3和C3的选取

第八章 脉冲波形的产生和变换试题及答案

第八章脉冲波形的产生和变换 一、填空题 1.(10-1中)矩形脉冲的获取方法通常有两种:一种是________________;另一种是________________________。 2.(10-1易)占空比是_________与_______的比值。 3.(10-4中)555定时器的最后数码为555的是(,)产品,为7555的是(,)产品。 4.(10-3中)施密特触发器具有现象;单稳触发器只有个稳定状态。 5.(易,中)常见的脉冲产生电路有,常见的脉冲整形电路有、。 6.(中)为了实现高的频率稳定度,常采用振荡器;单稳态触发器受到外触发时进入。 7.(10-3易)在数字系统中,单稳态触发器一般用于______、 ______、______等。 8.(10-3中)施密特触发器除了可作矩形脉冲整形电路外,还可以作为________、_________。 9.(10-2易)多谐振荡器在工作过程中不存在稳定状态,故又称为________。 10.(10-2中)由门电路组成的多谐振荡器有多种电路形式,但它们均具有如下共同特点: 首先,电路中含有________,如门电路、电压比较器、BJT 等。这些器件主要用来产生________;其次,具有________, 将输出电压器恰当的反馈给开关器件使之改变输出状态;另外,还有,利用RC电路的充、放电特性可实现_______,以获得所需要的振荡频率。在许多实用电路中,反馈网络兼有_____作用。 11.(10-3易)单稳态触发器的工作原理是:没有触发信号时,电路处于一种_______。外加触发信号,电路由_____翻转到_____。电容充电时,电路由______自动返回至______。 二、选择题 1.(10-2中)下面是脉冲整形电路的是()。 A.多谐振荡器触发器 C.施密特触发器触发器 2.(10-2中)多谐振荡器可产生()。

脉冲信号发生器设计

脉冲信号发生器 摘要:本实验是采用fpga方式基于Alter Cyclone2 EP2C5T144C8的简易脉冲信号发生器,可以实现输出一路周期1us到10ms,脉冲宽度:0.1us到周期-0.1us,时间分辨率为 0.1us的脉冲信号,并且还能输出一路正弦信号(与脉冲信号同时输出)。输出模式 可分为连续触发和单次手动可预置数(0~9)触发,具有周期、脉宽、触发数等显示功能。采用fpga计数实现的电路简化了电路结构并提高了射击精度,降低了电路功耗和资源成本。 关键词:FPGA;脉冲信号发生器;矩形脉冲;正弦信号; 1 方案设计与比较 脉冲信号产生方案: 方案一、采用专用DDS芯片的技术方案: 目前已有多种专用DDS集成芯片可用,采用专用芯片可大大简化系统硬件制作难度,部数字信号抖动小,输出信号指标高;但专用芯片控制方式比较固定,最大的缺点是进行脉宽控制,测量困难,无法进行外同步,不满足设计要求。 方案二、单片机法。 利用单片机实现矩形脉冲,可以较方案以更简化外围硬件,节约成本,并且也可以实现灵活控制、能产生任意波形的信号发生器。但是单片机的部时钟一般是小于25Mhz,速度上无法满足设计要求,通过单片机产生脉冲至少需要三条指令,所需时间大于所要求的精度要求,故不可取。 方案二:FPGA法。利用了可编程逻辑器件的灵活性且资源丰富的特点,通过Quartus 软件的设计编写,实现脉冲信号的产生及数控,并下载到试验箱中,这种方案电路简单、响应速度快、精度高、稳定性好故采用此种方案。 2 理论分析与计算 脉冲信号产生原理:输入量周期和脉宽,结合时钟频率,转换成两个计数器的容量,用来对周期和高电平的计时,输出即可产生脉冲信号。 脉冲信号的精度保证:时间分辨率0.1us,周期精度:+0.1%+0.05us,宽度精度:

实验8 脉冲信号产生电路

实验8 脉冲信号产生电路 一、实验目的 1. 掌握用基本门电路构成多谐振荡器的方法。 2. 熟悉单稳态触发器的工作原理和参数选择。 3. 熟悉施密特触发器的脉冲整形和应用。 二、实验原理 脉冲信号产生电路是数字系统中必不可少的单元电路。如同步信号、时钟信号和时基信号等都由它产生。产生脉冲信号的电路通常称为多谐振荡器。它不需信号源,只要加上直流电源,就可以自动产生信号。脉冲的整形通常应用单稳态触发器或施密特触发器实现。 脉冲信号的产生与整形可以用基本门电路来实现。现在已经有集成单稳态触发器、集成施密特触发器。另外用555 定时器也可以产生脉冲或实现脉冲整形。本实验主要研究用基本门电路组成的脉冲产生和整形电路。 1. 多谐振荡器 (1) TTL 门电路构成的多谐振荡器 由于 TTL 门电路 速度快,它 适宜于产生 中频段脉冲 源,图2.8.1 是由TTL 反向器构成的全对称多谐振荡器,若取C1= C2 = C,R1= R2= R,则电路完全对称,电容充放电时间相等,其振荡周期近似为T=1.4 RC。一般R1、R2的取值不超过1K,若取R1= R2 = 500Ω ,C1= C2=100pF~100μF,则其振荡频率的范围为几十赫到几十兆赫。 (2) 环形多谐振荡器 图 2.8.2 是用TTL 与非门构成的环形多谐振荡器,图中取R1=100Ω ,R W在2kΩ ~50kΩ之间变化,可调电容C的变化范围是100pF 到50μF,则振荡频率可从数千赫变到数兆赫。电路的振荡周期为T= 2.2 RC,其中R = R1+R W。

(3) 晶体振荡器 用TTL 或CMOS 门电路构成的振荡器幅度稳定性较好,但频率稳定性较差,一般只能达到10-2~10-3数量级。在对频率的稳定度、精度要求高的场合,选用石英晶体组成的振荡器较为适合。其频率稳定度可达10-5以上。图2.8.3 是用CMOS 芯片CD4069 和 晶体构成的多谐振荡器,C o一般取20pF。C S取10~30pF,其输出频率取决于晶体的固有振荡频率。 2. 单稳态触发器 稳态触发器的特点是它只有一个稳定状态,在外来脉冲的作用下,能够由稳定状态翻转到暂稳态。暂稳态维持一段时间TW 以后,将自动返回到稳定状态。TW大小与触发脉冲无关,仅取决于电路本身的参数。单稳态触发器一般用于定时、整形及延时等。单片集成的单稳态触发器有74LS122,CC4098 等。 图 2.8.4 是用与非门构成的微分型单稳态触发器,其输出脉冲宽度为:Tw= 0.8RC。 3. 施密特触发器 施密特触发器的特点是:电路有两个稳定状态,电路状态的翻转依靠外触发电平来维持。一旦外触发电平下降到一定电平 后,电路 立即恢复 到初始稳 态。其工

高压脉冲产生电路图

高压脉冲产生电路图 如图所示是由7位二进制计数器/分频器CD4024、四2与非门集成电路CD4011、六反相器CD4069、12位二进制计数器/分频器集成电路CD4040以及模拟开关CD4066等组成的高压脉冲产生电路图,该电路主要应用于脉冲点火器及治疗仪中。高压脉冲产生电路该电路由电源电路、脉宽控制电路、多谐振荡器、倒相电路、脉冲群间隔控制电路、输出驱动电路和输出控制电路等组成。(1)电源电路是由熔断器FU1及FU2、电源开关K1、电源变压器 如图所示是由7位二进制计数器/分频器CD4024、四2与非门集成电路 CD4011、六反相器CD4069、12位二进制计数器/分频器集成电路CD4040以及模拟开关CD4066等组成的高压脉冲产生电路图,该电路主要应用于脉冲点火器及治疗仪中。 高压脉冲产生电路 该电路由电源电路、脉宽控制电路、多谐振荡器、倒相电路、脉冲群间隔控制电路、输出驱动电路和输出控制电路等组成。 (1)电源电路是由熔断器FU1及FU2、电源开关K1、电源变压器T1、整流桥堆UR、滤波电容器C8及C7、限流电阻器R8、电源指示发光二极管VL1和三端集成稳压器LM7805等组成。 (2)多谐振荡器是由CD4011内部的两个与非门电路A10及A7、石英晶振BC、电容器C6和电阻器R16组成。 (3)倒相电路是由CD4069内部的非门电路A1~A5组成。

(4)脉冲控制电路是由CD4040和D2内部的两个与非门电路A8及A9组成。 (5)脉冲群间隔控制电路是由CD4024、选择开关V和六非门集成电路D5内部的非门电路A6组成。 (6)输出控制电路是由CD4066、按钮K3、电阻器R11及R10和电容器C1等组成。 (7)输出驱动电路是由晶体管V1~V4、脉冲升压变压器T2及T3、电位器RP1及RP2、电容器C2及C3、电阻器R1及V和发光二极管VL2及VL3组成。 电源开关K1接通后,220V交流电压通过T1降压、UR整流及C8滤波后,产生9V直流电压,该电压一路经D1稳压为+5V,作为D2~D6的工作电压,一路直接供给输出驱动电路。其中+5V电压还经R8限流后将VL1点亮。 多谐振荡器振荡工作后,从D2的3脚输出低频振荡信号,此信号加至脉宽控制电路中D3的/C/P端进行分频处理。经D3分频处理后的脉冲信号分为两路:一路脉冲信号从D3的Q9端输出,经脉冲群间隔控制电路中的D4再次分频后,从D4的3脚、4脚和5脚分别输出不同频率的脉冲信号;另一路从D3的3脚和5脚输出,经D2内部的与非门电路A9和A8选通处理成窄脉冲信号后,加至D6的10脚。由选择开关0从D4的3~5脚输出的三种信号中选择出某一种频率的脉冲信号,再经D5内部的非门电路缓冲整形及倒相处理后,产生两路相位相反的脉冲群间隔控制信号,分别加至D6的6脚和12脚。D6内部的模拟开关S1和S3在D5的8脚和6脚脉冲信号的控制下,间断地接通与关闭。D6的8脚和11脚外接的两路输出驱动电路在模拟开关S1和S3的控制下,工作在开关状态。脉冲升压变压器交替产生高压脉冲串。

PWM信号发生电路

1.P W M信号概述 脉冲宽度调制(PWM)信号广泛使用在电力变流技术中,以其作为控制信号可完成DC-DC 变换(开关电源)、DC-AC变换(逆变电源)、AC-AC变换(斩控调压)和AC-DC变换(功率因数校正)。 产生PWM信号的方法有多种,现分别论述如下: 1)普通电子元件构成PWM发生器电路 基本原理是由三角波或锯齿波发生器产生高频调制波,经比较器产生PWM信号。三角波或锯齿波与可调直流电压比较,产生可调占空比PWM信号;与正弦基波比较,产生占空比按正弦规律变化的SPWM信号。 此方法优点是成本低、各环节波形和电压值可观测、易于扩展应用电路等。缺点是电路集成度低,不利于产品化。 2)单片机自动生成PWM信号 基本原理是由单片机内部集成PWM发生器模块在程序控制下产生PWM信号。 优点是电路简单、便于程序控制。缺点是不利于学生观测PWM产生过程,闭环控制复杂和使用时受单片机性能制约。 3)可编程逻辑器件编程产生PWM信号 基本原理是以复杂可编程逻辑器件(CPLD)或现场可编程门阵列器件(FPGA)为硬件基础,设计专用程序产生PWM信号。 优点是电路简单、PWM频率和占空比定量准确。缺点是闭环控制复杂,产生SPWM信号难度大。 4)专用芯片产生PWM信号 是生产厂家设计、生产的特定功能芯片。 优点是使用方便、安全,便于应用到产品设计中。缺点是不利于学生观测PWM产生过程和灵活调节各项参数。 2.电子元件构成PWM发生器电路 图1电子元件构成PWM发生器电路 3.集成芯片SG3525构成PWM发生器电路 一、PWM信号发生电路说明 实验电路中,驱动开关管的PWM信号由专用PWM控制集成芯片SG3525产生(美国

模拟电路数字电路的脉冲电路信号处理

如何看懂脉冲电路 2010-06-2215:28:07作者:来源:21IC电子网 脉冲电路是专门用来产生电脉冲和对电脉冲进行放大、变换和整形的电路。家用电器中的定时器、报警器、电子开关、电子钟表、电子玩具以及电子医疗器具等,都要用到脉冲电路。 在电子电路中,电源、放大、振荡和调制电路被称为模拟电子电路,因为它们加工和处理的是连续变化的模拟信号。电子电路中另一大类电路的数字电子电路。它加工和处理的对象是不连续变化的数字信号。数字电子电路又可分成脉冲电路和数字逻辑电路,它们处理的都是不连续的脉冲信号。 电脉冲有各式各样的形状,有矩形、三角形、锯齿形、钟形、阶梯形和尖顶形的,最具有代表性的是矩形脉冲。要说明一个矩形脉冲的特性可以用脉冲幅度Um、脉冲周期T或频率f、脉冲前沿t r、脉冲后沿t f和脉冲宽度t k来表示。如果一个脉冲的宽度t k=1/2T,它就是一个方波。 脉冲电路和放大振荡电路最大的不同点,或者说脉冲电路的特点是:脉冲电路中的晶体管是工作在开关状态的。大多数情况下,晶体管是工作在特性曲线的饱和区或截止区的,所以脉冲电路有时也叫开关电路。从所用的晶体管也可以看出来,在工作频率较高时都采用专用的开关管,如2AK、2CK、DK、3AK 型管,只有在工作频率较低时才使用一般的晶体管。 就拿脉冲电路中最常用的反相器电路(图1)来说,从电路形式上看,它和放大电路中的共发射极电路很相似。在放大电路中,基极电阻R b2是接到正电源上以取得基极偏压;而这个电路中,为了保证电路可靠地截止,R b2是接到一个负电源上的,而且R b1和R b2的数值是按晶体管能可靠地进入饱和区或止区的要求计算出来的。不仅如此,为了使晶体管开关速度更快,在基极上还加有加速电容C,在脉前沿产生正向尖脉冲可使晶体管快速进入导通并饱和;在脉冲后沿产生负向尖脉冲使晶体管快速进入截止状态。除了射极输出器是个特例,脉冲电路中的晶体管都是工作在开关状态的,这是一个特点。

脉冲信号发生器

电子技术综合训练 设计报告 题目:脉冲信号发生器 姓名:xxx 学号:xxxxxxx 班级:xx 电气及其自动化xx 同组成员:xxx 指导教师:xxx 日期:2011年1月4日

脉冲信号发生器的原理主要分为四部分,即正弦波的产生,方波的变换,分频电路和倍频电路,并由这四部分最终产生三种不同频率的信号,其要点在于电路的线路连接及焊接。通过设计体会理论与实际结合的重要性. 关键字:正弦发生多谐振荡器降频电路锁相环

一、设计任务和要求 (5) 1.1设计任务 (5) 1.2设计要求 (5) 二、系统设计 (6) 2.1系统要求 (6) 2.2方案设计 (6) 2.3系统工作原理 (7) 三、单元电路设计 (8) 3.1 RC正弦发生器 (8) 3.1.1电路结构及工作原理 (9) 3.1.2电路仿真 (9) 3.1.3元器件的选择及参数确定 (9) 3.2 555定时器组成的多谐振荡器 (9) 3.2.1电路结构及工作原理 (9) 3.2.2电路仿真 (11) 3.3 74LS161计数器降频电路 (11) 3.3.1电路结构及工作原理 (11)

3.3.2电路仿真 (11) 3.3.3元器件的选择及参数确定 (11) 3.4 锁相环升频电路 (13) 3.4.1电路结构及工作原理 (13) 3.4.2元器件的选择及参数确定 (15) 四、系统仿真 (17) 五、电路安装、调试与测试 (18) 5.1电路安装 (17) 5.2电路调试 (17) 5.3系统功能及性能测试 (17) 5.3.1测试方法设计 (18) 5.3.2测试结果及分析 (18) 结论 (19) 参考文献 (20) 总结、体会和建议 (21) 附录 (22)

脉冲波形发生电路的设计

1实验目的 1.学习脉冲波形发生电路的设计方法和调试方法。 2.学习按模块划分电路的设计方法和调试方法。 2电路设计 图1:电路设计 2.1输入电路 电路图的最左侧是输入电路,R1=56kΩ,是预习时按照100μA选取的,经过实验验证可以正常工作。输出端有一个施密特反相器,是为了给波形整形,因为光电三极管的输出是模拟量,往往会有噪声,施密特反相器就能使得波形变为陡峭的方波,提高电路的稳定性。 2.2微分电路 输入电路的右侧是微分电路,作用是从输入电路端取边沿,输出尖峰脉冲,以触发后一级的单稳态电路。稳态时,若突然有物体遮挡光电三极管,电容左端由高电平跳变到低电平,但是电容两端的电压不能突变,所以右端的电压也会跳变成低电平,形成负的尖峰脉冲,此后很快电容就会充电回到高电平。如果没有微分电路,若物体一直遮挡着光电三极管,555定时器接成的单稳态电路就会一直处于暂稳态,这是不符合要求的。

2.3单稳态电路 单稳态电路在电路的最右侧,由555定时器接成。稳态时,输入端TRI处于高电平,输出OUT、DIS都是低电平,且C3上无电荷。触发时,TRI端收到一个负的尖峰脉冲,输 V CC时放电,且输出端回到出端OUT瞬间变为高电平,LED灯亮,同时C3充电,至2 3 低电平,回到稳态。 2.4放电电路 选做任务中要求电路能重复触发,就需要在触发时给C3放电,从而能重新计时。由于实验箱中只有NPN型三极管,故需要将触发信号经过施密特反相器后再连接到三极管基极,这样还能对信号进行整形,提高质量。当触发信号来临时,三极管就会导通,C3迅速放电。 2.5计数电路 利用74HC161改接成的十进制计数器进行计数,计数信号经过施密特反相器后,再次反相,作为时钟信号。为了完成选做任务,还需将555定时器的输出端连到74HC161的异步置零端,以实现所有物体经过后计数置零的目的。 3波形分析 3.1输入电路波形 遮挡红外发射管若干次,可以得到如图2的波形。可以看出黄色的光电三极管的输出波形虽然都是正脉冲,但是波形很不理想,毛刺较多;经过施密特反相后的绿色波形则十分规整,毛刺很少,基本上都是方波了,适合作为后一级的输入。 图2:输入电路波形

脉冲信号产生电路

数电实验实验报告实验名称脉冲信号产生电路 实验目的1.熟悉555集成时基电路的构造、工作原理及特点 2.掌握用时基电路设计脉冲信号产生电路的方法 3.掌握影响脉冲波形参数的定时元件数值的计算方法 4.熟悉使用示波器测量信号周期和脉宽的方法 实验仪器 设备 通用试验箱、数字示波器、万用表、555、电阻、电容、连接线元器件555、电阻、电容 实验原理1.555定时器的工作原理:(1)内部组成电路: (2)555定时器的功能表

2.555定时器组成多谐振荡器 (1)555定时器组成多谐振荡器连线图 (2)工作原理: 电路没有稳态,只有两个暂稳态,电路不需要外加触发信号,利用电源通过电阻R A、R B向电容C充电,以及通过放电三极管T放电,便产生振荡。输出信号的时间参数T=T1+T2,其中T1=0.7(R A+R B)C(正脉冲宽度)、T2=0.7R B C(负脉冲宽度),则T=0.7(R A+2R B)C 且555要求RA、RB均应大于或等于1KΩ,但应小于或等于3.3MΩ (3)芯片引脚图

实验内容 设计一个自激多谐振荡器电路,用数字示波器观测Uc与Uo的波形,测定振荡 频率;改变RA、RB、C的值,再观测波形及频率的变化。 实验数据 记录及处 理 实验数据: R A R B C U C U T(测量) T(实际) f 47Ω100Ω10nF 1.04v 2.16v 1.840ms 1.729ms543.5HZ 100Ω47kΩ10nF 1.00V 757.6V 1.520ms 1.358ms 657.9HZ 实验结论 Vo呈方波 当电容充电时,V0输出高电平 当电容放电时,V0输出低电平 当RA:RB增大时,占空比也随之增大 频率与RA、RB、C都成反比

PWM信号发生电路

1.PWM 信号概述 脉冲宽度调制(PWM )信号广泛使用在电力变流技术中,以其作为控制信号可完成DC-DC变换(开关电源)、DC-AC变换(逆变电源)、AC-AC变换(斩控调压)和AC-DC 变换(功率因数校正)。 产生PWM 信号的方法有多种,现分别论述如下: 1)普通电子元件构成PWM 发生器电路基本原理是由三角波或锯齿波发生器产生高频调制波,经比较器产生PWM 信号。三角波或锯齿波与可调直流电压比较,产生可调占空比PWM 信号;与正弦基波比较,产生占空比按正弦规律变化的SPWM 信号。 此方法优点是成本低、各环节波形和电压值可观测、易于扩展应用电路等。缺点是电路集成度低,不利于产品化。 2)单片机自动生成PWM 信号 基本原理是由单片机内部集成PWM 发生器模块在程序控制下产生PWM 信号。 优点是电路简单、便于程序控制。缺点是不利于学生观测PWM 产生过程,闭环控制复杂和使用时受单片机性能制约。 3)可编程逻辑器件编程产生PWM 信号基本原理是以复杂可编程逻辑器件(CPLD )或现场可编程门阵列器件 (FPGA)为硬件基础,设计专用程序产生PWM信号。 优点是电路简单、PWM 频率和占空比定量准确。缺点是闭环控制复杂,产生SPWM 信号难度大。 4)专用芯片产生PWM 信号 是生产厂家设计、生产的特定功能芯片。优点是使用方便、安全,便于应用到产品设计中。缺点是不利于学生观测 PWM 产生过程和灵活调节各项参数。 2. 电子元件构成PWM发生器电路

图1电子元件构成PWM 发生器电路 3. 集成芯片SG3525构成PWI 发生器电路 、PWM 信号发生电路说明 实验电路中,驱动开关管的 PWM 信号由专用PWM 控制集成芯片SG3525 产生(美国Silicon General 公司生产),PWM 信号发生器电路如图 图2 PWM 信号发生器电路图 SG3525采用恒频脉宽调制控制方案,内部包含有精密基准源、锯齿波振荡 器、误差放大器、比较器、分频器和保护电路等。调节 Ur 的大小,在OUTA 、 2所示。 I l 22K Rw Ur 10K R2K R5 -CZI 10K J' R2 10 R1 =±C1 15K 0.01uF VREF VCC OSC OUT VC SYNC RT D ISC OUT A CT OUT B CMPEN IN+ IN - SS GND SD +15V 15 T + 15 T HF R6 10K V_G Dz R9 30K Dz PWM 13 12 10 ----- S G3525AN 8 4148 11 14 16 4 3 6' 7 5 9 "2 1 光电隔离 上C2 T~ 100uF

51单片机脉冲产生程序设计

独立键盘控制输出脉冲信号 用51单片机用独立键盘控制输出4种频率:1Hz、2Hz、10Hz、50Hz,占空比为50%的脉冲信号。 #include #define uint unsigned int #define uchar unsigned char sbit d0=P1^0; sbit d1=P3^2; uintnum=0,counter=0; void delay(uint x) { uinti,j; for(i=x;i>0;i--) for(j=110;j>0;j--); } void main() { d1=1; d0=1; d2=1; num=0; IT0=1; EX0=1; TMOD=0x01; TH0=(65536-1000)/256; TL0=(65536-1000)%256; EA=1; ET0=1; TR0=1; while(1) { } } void Int0() interrupt 0 { delay(10); if(d1==0) { d1=1; num++; if(num==4)

num=0; counter=0; } } void Timer0(void) interrupt 1 { TH0=(65536-1000)/256; TL0=(65536-1000)%256; counter++; if(num==0) { if(counter<=500) d0=0; if(counter>500) d0=1; if(counter==1000) counter=0; } if(num==1) { if(counter<=250) d0=0; if(counter>250) d0=1; if(counter==500) counter=0; } if(num==2) { if(counter<=50) d0=0; if(counter>50) d0=1; if(counter==100) counter=0; } if(num==3) { if(counter<=10) d0=0; if(counter>10) d0=1;

产生脉冲的程序的PLC程序梯形图

产生脉冲的程序的PLC程序梯形图 (1)周期可调的脉冲信号发生器 如图5-6所示采用定时器T0产生一个周期可调节的连续脉冲。当X0常开触点闭合后,第一次扫描到T0常闭触点时,它是闭合的,于是T0线圈得电,经过1s的延时,T0常闭触点断开。T0常闭触点断开后的下一个扫描周期中,当扫描到T0常闭触点时,因它已断开,使T0线圈失电,T0常闭触点又随之恢复闭合。这样,在下一个扫描周期扫描到T0常闭触点时,又使T0线圈得电,重复以上动作,T0的常开触点连续闭合、断开,就产生了脉宽为一个扫描周期、脉冲周期为1s的连续脉冲。改变T0的设定值,就可改变脉冲周期。 图5-6 周期可调的脉冲信号发生器 a)梯形图 b)时序图 (2)占空比可调的脉冲信号发生器 如图5-7所示为采用两个定时器产生连续脉冲信号,脉冲周期为5秒,占空比为3:2(接通时间:断开时间)。接通时间3s,由定时器T1设定,断开时间为2s,由定时器T0设定,用Y0作为连续脉冲输出端。

图5-7 占空比可调的脉冲信号发生器 a)梯形图 b)时序图 (3)顺序脉冲发生器 如图5-8a所示为用三个定时器产生一组顺序脉冲的梯形图程序,顺序脉冲波形如图5-8b所示。当X4接通,T40开始延时,同时Y31通电,定时l0s时间到,T40常闭触点断开,Y31断电。T40常开触点闭合,T41开始延时,同时Y32通电,当T41定时15s时间到,Y32断电。T41常开触点闭合,T42开始延时.同时Y33通电,T42定时20s时间到,Y33断电。如果X4仍接通,重新开始产生顺序脉冲,直至X4断开。当X4断开时,所有的定时器全部断电,定时器触点复位,输出Y31、Y32及Y33全部断电。

秒脉冲产生电路模块设计

2.3.3 秒脉冲产生电路模块设计 2.3.3.1 电路模块的作用 该模块的作用是将10kHz时钟信号经过10000分频得到1Hz的秒脉冲时钟信号,做为秒计数电路模块的输入时钟信号。因此,该模块有2个端口,输入端口为clk_10kHz,输出端口为clk_1Hz。 2.3.3.2 设计思路 输入的时钟信号频率为10kHz,周期为0.01ms;输出的时钟信号频率为1Hz,周期为1s;由此可看出,输出信号的频率比输入信号的频率降低了10000倍,因此周期提高了10000倍;因此在编写程序代码时,设计一个中间计数器jsq,目的是对输入信号进行计数;当计数器jsq从0计数到4999时,计数了5000次,输出信号持续为高电平;而当计数器jsq从5000计数到9999时,同样也计数了5000次,然后输出信号持续为低电平;由此可以得知输出的信号周期为输入信号的10000倍,从而实现了10000分频的目的,并使得输出信号的占空比为50%。 2.3.3.3 程序代码及代码解析 1. 模块声明 module FDIV(clk_1Hz,clk_10kHz); /*模块声明*/ 。。。。。。 endmodule 模块名:FDIV;两个端口:clk_1Hz和clk_10kHz 2. 端口定义:注意输入信号和输出信号的位宽 input clk_10kHz; /*输入端口定义*/ output clk_1Hz; /*输出端口定义*/ 3. 数据类型说明 reg clk_1Hz; /* clk_1Hz为寄存器型变量*/ reg[13:0] jsq; /*jsq为中间计数器变量*/ 由于电路中需要一个计数器来计数,因此定义了一个中间变量jsq,且数据类型为寄存器型(reg型),该计数器能从0计数到9999(10000次),故位宽为14位。 4. 逻辑功能描述 (1)代码一: always @(posedge clk_10kHz) begin if(jsq<4999) begin jsq<=jsq+1;clk_1Hz<=1; end else if(jsq==9999)

简易脉冲信号发生器

简易脉冲信号发生器 无线电90.11 孙明方 在安装、调试各种数字电路仪器设备时,脉冲信号发生器是得力助手。我用NE 555、LM324等少量元器件组装了一台脉冲信号发生器,效果很好.该仪器能产生连续脉冲、单脉冲、快速窄脉冲及锯齿波。它的一些技术指标是:频率范围2HZ~20kHZ,分3档连续可调;输出脉冲幅度0~8V连续可调;输出脉冲宽度连续可调,占空比变化可达(0~100)%,而且在调节脉宽时,振荡频率不受影响,这是本仪器的一个特点;脉冲上升时间和下降时间都小平改1μs,快速窄脉冲则小于100μs;当市电电压在160~250V范围内变化时,脉冲频率及脉冲宽度不受影响。 电路原理 图1为本仪器电原理图。时基集成电路NE 555由开关SA1 控制接成单稳态多谐振荡器或无稳态多谐振荡器。当SA1 拨向“连续”一边时电路为无稳态,SA 拨向“单次”一边时为单稳态。晶体管VT1 接成恒流源电路,这样可以保证通过 NE555获得线性良好的锯齿波。N1 、N2 、N3为四运放LM324集成电路,其中N1接成比较器,用来调节脉宽;N2接成跟随器,用来增大锯齿波输出电流;N3 用来驱动发光二极管LED,以指示脉宽及单次触发情况。由于LM 324的频响不太好,产生脉冲波形的前沿和后沿均较差,所以加了一级由VT2 构成的反相器作为整形用。VT3 接成跟随器,用来调节脉冲幅度和增强输出能力。 SA1拨向“连续”一边时,恒流源VT1 向电容C3、C4或C5充电。由于NE555内部电路所定,当电容两端的电压上升到(2/3) V CC时,NE555的内部放电管导通,电容器上的电荷迅速泄放,电容器端电压立即下降到(1/3) V CC,然后再一次由恒流源充电,接着再一次放电,这样在电容器两端的电压就形成了一串锯齿波.锯

相关文档