文档库 最新最全的文档下载
当前位置:文档库 › 通信系统设计报告

通信系统设计报告

通信系统设计报告
通信系统设计报告

通信系统课程设计报告

题目:模拟线性调制系统的

建模、设计与计算机仿真分析

学院xx

专业班级xx

学生姓名xx

学生学号xx

提交日期 2015.6.28

目录

1 设计目的 (2)

2 设计要求和设计指标 (2)

3 设计内容 (3)

3.1线性调制的一般原理 (3)

3.2常规双边带调制AM (4)

3.2.1 AM调制工作原理 (4)

3.2.2 AM调制解调仿真电路 (5)

3.2.3 AM调制解调仿真结果与分析 (5)

3.3双边带调制DSB (9)

3.3.1 DSB调制解调工作原理 (9)

3.3.2 DSB调制解调仿真电路 (9)

3.3.3 DSB调制解调仿真结果与分析 (10)

3.4单边带调制SSB (14)

3.4.1 SSB调制解调工作原理 (14)

3.4.2 SSB调制解调仿真电路 (15)

3.4.3 SSB调制解调仿真结果与分析 (16)

4 本设计改进建议 (19)

5 总结 (19)

参考文献 (20)

2 设计目的

(1)使学生掌握系统各功能模块的基本工作原理;

(2)培养学生掌握电路设计的基本思路和方法;

(3)能提高学生对所学理论知识的理解能力;

(4)能提高和挖掘学生对所学知识的实际应用能力即创新能力;

(5)提高学生的科技论文写作能力。

2 设计要求和设计指标

(1)学习SystemView仿真软件;

(2)对需要仿真的通信系统各功能模块的工作原理进行分析;

(3)提出系统的设计方案,选用合适的模块;

(4)对所设计系统进行仿真;

(5)并对仿真结果进行分析。

3 设计内容

3.1 线性调制的一般原理

模拟调制系统可分为线性调制和非线性调制,本课程设计只研究线性调制系统的设计与仿真。线性调制系统中,常用的方法有AM 调制,DSB 调制,SSB 调制。

线性调制的一般原理:

载波:)cos()(0?ω+=t A t s c

调制信号:)cos()()(0?ω+=t t Am t s c m

式中()t m —基带信号。

线性调制器的一般模型如图3-1

在该模型中,适当选择带通滤波器的冲击响应()t h ,便可以得到各种线性调制信号。

线性解调器的一般模型如图3-2。

图3-2线性解调系统的一般模型

其中()t s m —已调信号,()t n —信道加性高斯白噪声。

3.2 常规双边带调制AM

3.2.1 AM 调制工作原理

(1)调制原理

如果输入基带信号()t m 含直流分量,则它可以表示为0m 与()t m '之和,其中,0m 是()t m 的直流分量,()t m '是表示消息变化的交流分量,且假设()t h 也是理想带通滤波器的冲激响应,如果满足max 0)(t m m '>,则信号为调幅(AM )信号,

其时域表示形式为: ()()()00cos cos cos m c c c s t m m t t m t m t t ωωω''=+=+????

其对应的频域表示式为:

''1

02()[()()][()()]

m c c c c S m M M ωπδωωδωωωωωω=-+++-++

式中 ''()()M m t ω?。 (2)解调原理

通常AM 信号可以用相干解调(同步检测)和非相干解调(包络检波)两种方法进行解调。由AM 信号的频谱可知,如果将已调信号的频谱搬回到原点位置,即可得到原始的调制信号频谱,从而恢复出原始信号。解调中的频谱搬移同样可用调制时的相乘运算来实现。将已调信号乘上一个与调制器同频同相的载波,可得

21

100022()cos()[()]cos [()][()]cos 2AM c c c s t t A m t t A m t A m t t ωωω?=+=+++

由上式可知,只要用一个低通滤波器,就可以将第1项与第2项分离,无失真的恢复出原始的调制信号:

1

02[()]

A m t + 本设计采用了相干解调的方法进行解调,其原理框图如图3-3所示。

图3-3 相干解调原理框图

3.2.2 AM调制解调仿真电路

根据以上AM信号的调制与解调原理,用system view仿真的电路图如图3-4所示。

图3-4 AM调制解调仿真电路

具体设计参数为:

Token12、14:正弦载波信号,幅度为1V,频率为300HZ;

Token1:增益为2;

Token2、9:乘法器;

Token6、7:加法器;

Token4:正弦基带信号,幅度为1V,频率10HZ;

Token10:低通滤波器,截止频率为12HZ,极点数为3。

采样频率=3000HZ,采样点数=1024。

3.2.3 AM调制解调仿真结果与分析

仿真后的波形如图3-5所示:

图3-5(a)载波信号

图3-5(b)基带信号

图3-5(c)AM已调信号

图3-5(d)AM解调信号

图3-5 AM调制解调波形图

其中基带信号频谱、已调信号频谱及解调后信号频谱如下图3-6所示:

图3-6(a)载波信号频谱图

图3-6(b)基带信号频谱图

图3-6(c)AM已调信号频谱图

图3-6(d)AM解调信号频谱图

图3-6 频谱比较图

分析:AM调制为线性调制的一种,由图3-5可以看出,在波形上,已调信号的幅值随基带信号变化而呈正比地变化;由图3-6可以看出,在频谱结构上,它完全是基带信号频谱结构在频域内的简单搬移。用相干解调法解调出来的信号与基带信号基本一致,实现了无失真传输。

3.3 双边带调制DSB

3.3.1 DSB 调制解调工作原理

(1)调制原理

在图3-1中,如果输入的基带信号没有直流分量,且()h t 是理想的带通滤波器,则该基带信号与载波相乘就得到双边带信号(DSB 信号),或称双边带抑制载波信号。其表达式为

()()cos m c s t m t t ω=

(2)解调原理

DSB 信号只能用相干解调的方法进行解调,DSB 信号的解调模型与AM 信号相干解调时完全相同。此时,乘法器输出为:

211

22()cos ()cos ()()cos 2DSB c c c s t t m t t m t m t t ωωω?==+ 经低通滤波器滤除高次项,得

1

2()()o m t m t = 即无失真地恢复出了基带信号。

3.3.2 DSB 调制解调仿真电路

根据以上DSB 信号的调制与解调原理,用system view 仿真的电路图如图7所示。

图3-7 DSB调制解调仿真电路图具体设计参数为:

Token12、14:正弦载波信号,幅度为1V,频率为300HZ; Token15:增益为0;Token2、9:乘法器;

Token16、7:加法器;

Token4:正弦基带信号,幅度为1V,频率10HZ; Token10:低通滤波器,截止频率为12HZ,极点数为3。采样频率=3000HZ,采样点数=1024。

3.3.3 DSB调制解调仿真结果与分析

仿真后的波形如图3-8所示:

图3-8(a)载波信号

图3-8(b)基带信号

图3-8(c)DSB已调信号

图3-8(d)DSB解调信号

图3-8 DSB调制解调波形图

其中解调后信号频谱、已调信号频谱及基带信号频谱如下图3-9所示:

图3-9(a)载波信号频谱图

图3-9(b)基带信号频谱图

图3-9(c)DSB已调信号频谱图

图3-9(d)DSB解调信号频谱图

图3-9 频谱比较图

分析:DSB调制为线性调制的一种,由图3-8可以看出,在波形上,DSB调制信号有明显的包络,且存在反相点, 占用频带宽度比较宽,为基带信号的2倍;由图3-9可以看出,在频谱上,DSB信号不存在载波分量,即没有离散谱,只有上下边带两部分,调制效率为100%,即全部功率都用于信息传输。由于DSB信号的包络不再与调制信号的变化规律一致,因此采用相干解调,低通滤波器的截止频率为12Hz,经相干解调后,与原信号波形一致,稍微存在一些延时。

3.4 单边带调制SSB

3.4.1 SSB 调制解调工作原理

(1)调制原理

双边带已调信号包含有两个边带,即上、下边带。由于这两个边带包含的信息相同,从信息传输的角度来考虑,传输一个边带就够了。所谓单边带调制,就是只产生一个边带的调制方式。故易知在DSB 调制后加适当截止频率的高通或低通滤波器便可产生相应SSB 信号。

通过低通滤波器后产生的下边带SSB 信号,表达式为:

()()()t t m

t t m t s c c m ωωsin ?5.0cos 5.0+= 通过高通滤波器后产生的上边带SSB 信号,表达式为: ()()()t t m

t t m t s c c m ωωsin ?5.0cos 5.0-= 原理图如图3-10所示。

图3-10 SSB 调制系统原理图

但是由于滤波器的截止特性不理想,这里采用移相法来设计。设调制信号的单频信号t A t f m m ωcos )(=,载波为t t c c ωcos )(=,则调制后的双边带时域波形为

()()()[]2

/cos cos cos cos t w w A t w w A t t A t s m c m m c m c m m DSB -++==ωω

保留上边带,波形为

()()[]()2

/sin sin cos cos 2/cos t w t w t w t w A t w w A t s m c m c m m c m USB -=+=

保留下边带,波形为 ()()[]()2/sin sin cos cos 2/cos t w t w t w t w A t w w A t s m c m c m m c m lSB +=-=

上两式中的第一项与调制信号和载波信号的乘积成正比,称为同相分量;而第二项的乘积则是调制信号与载波信号分别移相90°后相乘的结果,称为正交分量。因此移相法的原理图如图3-11所示。

图3-11 SSB 移相法原理图

(2)解调原理

SSB 调制信号只能用相干解调方法解调。解调原理和AM 的线性解调原理相同,解调原理图如图3-3所示。

3.4.2 SSB 调制解调仿真电路

根据以上SSB 信号的调制与解调原理,用system view 仿真的电路图如图3-12所示。

图3-12 SSB调制解调仿真电路图具体设计参数为:

Token0:正弦基带信号,幅度为0.5V,频率为10HZ; Token1、3、8:乘法器;Token5、6:加法器;Token4:相反器;

Token2、11:正弦载波信号,幅度为1V,频率300HZ; Token10:低通滤波器,截止频率为10HZ,极点数为2。采样频率=3000HZ,采样点数=1024。

3.4.3 SSB调制解调仿真结果与分析

仿真后的波形如图3-13所示:

图3-13(a)SSB下边带已调信号

图3-13(b)SSB上边带已调信号

图3-13(c)SSB下边带解调信号

图3-13 SSB调制解调波形图

其中解调后信号频谱、上边带信号频谱、下边带信号频谱及如下图3-14所示:

图3-14(a)SSB下边带已调信号频谱图

图3-14(b)SSB上边带已调信号频谱图

图3-14(c)SSB下边带解调信号频谱图

图3-14 频谱比较图

分析:SSB调制信号与DSB调制信号的波形及频谱基本一致,与DSB相比较,SSB信号是将双边带信号中的一个边带滤掉而形成的,只包含了一个边带的信号,节省了带宽资源,调制效率仍是100%,带宽利用率高。由于SSB信号的包络也不再与调制信号的变化规律一致,因此采用相干解调,经相干解调后的波形与原输入波形一致,有稍微的延时。

4 本设计改进建议

(1)在仿真图中加入加性噪声,了解噪声对信号的影响;

(2)可以做残留边带调制VSB,了解VSB与其他调制方式的优劣点;

(3)做一个项目,如超外差式收音机,在实践中寻找不同;

(4)在仿真图中,多试几个值,了解仿真图形的细微变化;

(5)拓展学习,了解角度调制的优缺点。

5 总结

AM调制、DSB调制、SSB调制是常用的模拟调制方式,从传输带宽的角度讲,AM调制和DSB调制是信号带宽的2倍,而SSB调制仅是AM调制和DSB调制系统带宽的一半,有效地节省了带宽;从信噪比改善的角度讲,DSB 调制系统优于SSB调制系统优于AM调制系统;从设备复杂性的角度讲,AM 调制系统最复杂,SSB调制系统最简单。

通过着十天通信原理课程设计,利用仿真软件对所学知识进行仿真,通过在仿真过程中解决问题使我对所学知识进一步了解,并且可以熟练应用SystemView软件,总之这短短十天的课程设计使我们受益匪浅。

通信系统综合设计报告——光照强度监测系统设计

目录 第一章概述 (2) 第一节课题背景与意义 (2) 第二节课题设计要求与指标 (2) 第二章系统方案选择与确定 (3) 第一节硬件系统方案选择 (3) 一、光照采集模块方案选择 (3) 二、无线传输模块方案选择 (3) 三、 LCD显示模块方案选择 (4) 四、 MCU模块方案选择 (4) 第二节软件系统方案选择 (4) 第三章系统硬件设计与实现 (6) 第一节采集端硬件设计 (6) 一、光照采集模块设计 (7) 二、ATmega16L最小系统模块设计 (8) 三、无线传输模块设计 (9) 第二节终端硬件设计 (10) 一、LCD显示模块设计 (11) 二、变压电路设计 (12) 第四章系统软件设计与实现 (13) 第一节程序整体设计 (13) 第二节光照采集与AD转换程序设计 (13) 第三节无线传输程序设计 (14) 第四节LCD显示程序设计 (16) 第五节程序下载 (17) 第四章测试结果及讨论 (18) 第一节LCD显示测试 (18) 第二节光照采集与显示测试 (19) 心得体会 (21) 参考文献 (22) 附录 (23) 一、器件清单 (23) 二、工具清单 (23) 三、实物图 (24) 四、程序代码 (24)

第一章概述 第一节课题背景与意义 在现代农业和工业领域,经常需要对一些环境参数进行监测,以做出相应处理,确保设备和系统运行在最佳状态。随着科技的发展,对环境参数监测系统的要求也越来越高;因此基于传感器、单片机和无线通信芯片设计出一种无线环境参数监测系统十分的重要。 光照强度是一个重要的环境参数,在工业和农业领域有着重要的应用,本课程设计介绍一种可以应用在许多领域的无线光照强度监测系统,实现对环境中的光照强度进行实时采集处理、无线传输与显示的功能。 本文的主要研究工作集中在光照强度监测系统的设计上,通过C语言编程对单片机进行控制,使单片机控制光照采集传感器、无线通信芯片和LCD,实现系统功能。在本课题的基础上可以设计完成一个高速、方便、稳定的环境数据监测采集和传输系统,可以广泛应用于现代农业和工业领域。 第二节课题设计要求与指标 本系统以环境光照强度为研究对象,应满足的要求与指标为: 1、监测点光照强度测量精确,精度大于0.1lux; 2、将监测点的参数数据以无线方式发送至汇节点,并LCD显示,要求分立元件实现的无线传输距离大于20cm,无线传输模块实现的传输距离大于1km; 3、无线传输设备具有较强的抗干扰能力; 4、设备具有较高的实时性; 5、设备功耗功耗较低。

通信综合实训系统实验报告

通信综合实训系统实验 (程控交换系统实验) 学生姓名 学号 专业班级通信工程班 指导老师 年月日

实验1 局内呼叫处理实验 一、实验目的 1. 通过对模拟用户的呼叫追踪,加深对程控交换机呼叫处理过程的理解; 2. 掌握程控交换机配置数据的意义及原理; 3. 根据设计要求,完成对程控交换机本局数据的配置。 二、实验内容 1.学习ZXJ10 程控交换机本局数据配置方法; 2.模拟用户动态跟踪,深入分析交换机呼叫流程; 3.按照实验指导书的步骤配置本局数据,电话号码7000000~7000023 分配到ASLC 板 卡的0~23 端口,并用7000000 拨打7000001 电话,按照实验指导书方法创建模拟用 户呼叫跟踪,观察呼叫动态迁移,理解单模块呼叫流程。 4.本局数据配置需要配置如下: 局信息配置 局容量数据配置 交换局配置 物理配置 号码管理、号码分析 三、实验仪器 程控交换机 1 套 维护终端若干 电话机若干四、实验步骤 (一)、启动后台维护控制中心 启动程控交换机网管终端计算机,点击桌面快捷方式的,启动后的维护控制中心如下图2-1(利用众友开发软件CCTS可省略该步骤): (二)、启动操作维护台 选中后台维护系统控制中心,单击右键,选中【启动操作维护平台】, 出现如下的对话框,输入操作员名【SYSTEM】, 口令为空,单击【确定】后,将会登陆操作维护系统。

(三)、告警局配置 打开“系统维护(C)”---- “告警局配置(B)”,点击“局信息配置(B)”后,弹出如下界面。 输入该局的区号532,局号 1 ,然后点击【写库】。 (四)、局容量数据配置 打开【基本数据管理】-【局容量数据配置】, 点击后弹出如下操作界面(分别进行全局容量、各模块容量进行规划设置),点击【全局规划】,出现如下的对话框. 点击【全部使用建议值】, 当前值自动填上系统默认的数值,点击【确定】后返回容量规划界面,点击【增加】, 模块号 2 ,MP内存128 ,普通外围、远端交换模块,填写完,点击【全部使用建议值】。 (五)、交换局配置 在后台维护系统打开[数据管理→基本数据管理→交换局配置]弹出如下的对话框,按照 图示,只填写【本交换局】-【交换局配置数据】,点击设置。 (六)、物理配置 在后台维护系统打开[数据管理→基本数据管理→物理配置]: 1. 新增模块 点击【新增模块】,填完模块号,选中紧凑型外围交换模块,点击确定,返回开始的对话 框。

通信联络系统设计方案

GB/T 17626.3-1998 电磁兼容 扰度试验 ( idt IEC 61000-4-3:1995 ) GB/T 17626.4-1998 电磁兼容 抗扰度试验( idt IEC 61000-4-4:1995 GB/T 17626.5-1999 电磁兼容 矿井通信联络系统技术方案 一、为满足本矿高效率协调等一级调度模式要求, 计划建设生产调度通信网的 有线通信系统,实现录音、强拆、强插、全呼、组呼、直通、一键直拨等调度 功能,该项目要求总调度室可直接通过调度台控制其系统内的所有内部用户, 使得总调能和各地点之间进行实现通话、强插、 录音等。 本次系统项目主要应 注意总调(调度中心) 与各地点通信设备的对接问题, 以及设备间互相通话及 在紧急状态下强插各生产岗位电话发布紧急命令。 、规范性引用文件 B/T 2887 电子计算机场地通用规范; GB 3836.1 爆炸性气体环境用电气设备 第1 部分:通用要求 GB 3836.2 爆炸性气体环境用电气设备 第2部分:隔爆型“ d ” GB 3836.3 爆炸性气体环境用电气设备 第3部分:增安型“ e ” GB 3836.4 爆炸性气体环境用电气设备 第4 部分:本质安全型“ i ” 度试验( idt IEC 61000-4-5:1995 ) MT 209-90 煤矿通信,检测,控制用电工电子产品通用技术要求 MT 210-90 煤矿通信,检测,控制用电工电子产品基本试验方法 试验和测量技术 射频电磁场辐射抗 试验和测量技术 ) 试验和测量技术 电快速瞬变脉冲群 浪涌(冲击)抗扰

MT 211-90 煤矿通信、检测、控制用电工电子产品质量检测规则 MT 286 煤矿通信、自动化产品型号编制方法和管理办法 MT 401 煤矿生产调度通信系统通用技术条件 MT/T 722-1998 煤矿监控主要性能测试方法 MT/T 899 煤矿用信息传输装置 三、术语和定义 下列术语和定义适合本标准。 1 煤矿通信联络系统煤矿在生产、调度、管理等各环节能够实现直接通信联络的系统 实现全矿井 2 矿井有线调度通信系统使调度与设在生产各环节的被调度之间能实现直 接通话联系,生产调度指挥的有线通信系统。 3 矿井无线通信系统能够实现矿井无线通信的系统。 4 矿井广播系统能够实现矿井中地面对井下语音广播的系统。 5 组呼输入组呼编号呼叫该组的所有终端设备。 6 全呼输入全呼指令呼叫系统中全部终端设备。 7 广播 对系统内所有终端设备播放语音或其他音响效果,期间终端设备处于单工 通信状态 8 插播强行切断系统内所有设备的语音通话,并强制其播放语音或其他音响效果,期间终端设备处于单工通信状态。 四、分类 1 型号产品型号应符合MT/T 286 的规定。

网络程序设计实验报告-Winsock编程接口实验

网络程序设计 实验报告 实验名称: Winsock编程接口实验 实验类型:____验证型实验_____ __ 指导教师:______________________ 专业班级:_____________________ 姓名:_______________________ 学号:_____________________ 电子邮件:____________ 实验地点:______ _______ 实验日期2013 年 3 月29 日 实验成绩:__________________________

一、实验目的 ●掌握Winsock的启动和初始化; ●掌握gethostname(),gethostbyname(),GetAdaptersInfo()等信息查询函数的使用。 二、实验设计 由实验内容可以知道: 1、编写程序能同时实现对多个域名的解析。比如在控制台输入:getip https://www.wendangku.net/doc/2d8562346.html, https://www.wendangku.net/doc/2d8562346.html,,能输出https://www.wendangku.net/doc/2d8562346.html,和https://www.wendangku.net/doc/2d8562346.html,对应的IP地址列表。 2、编写程序获取并输出本地主机的所有适配器的IP地址,子网掩码,默认网关,MAC 地址。 首先要了解一些基本的知识gethostname(),gethostbyname(),GetAdaptersInfo()等信息查询函数的基本知识gethostbyname()返回对应于给定主机名的包含主机名字和地址信息的hostent结构指针。结构的声明与gethostaddr()中一致。 之后要根据内容画出函数流程图

三、实验过程(包含实验结果) 1.在实验过程中调用GetAdaptersInfo()时,出现了undeclared identifier的报错,原因是没有包含其头文件,之后进行一些修改解决了问题. 2.实验结果 3.选择查看本机信息 四、讨论与分析 1.Winsock初始化的作用是什么? 答:使用winsock初始化可加载winsock编程的动态链接库。

通信专业综合课程设计报告

专业综合课程设计 指导书 班级通信D101 指导教师董自健 淮海工学院电子工程学院 通信工程系

2013年10 月18 日 一、课程设计的目的和任务 本次课程设计是根据“通信工程专业培养计划”要求而制定的。综合课程设计是通信工程专业的学生在学完所有专业课后进行的综合性课程设计。其目的在于使学生在课程设计过程中能够理论联系实际,在实践中充分利用所学理论知识分析和研究设计过程中出现的各类技术问题,巩固和扩大所学知识面,为以后走向工作岗位进行设计打下一定的基础。 课程设计的任务是:(1)掌握一般通信系统设计的过程、步骤、要求、工作内容及设计方法;掌握用计算机仿真通信系统的方法。(2)训练学生综合运用专业知识的能力,提高学生进行通信工程设计的能力。 二、教学要求 由于是专业综合性课程设计,因此设计的内容应该围绕主干专业课程,如:通信原理、程控交换技术、传输设备,通信网点等。 课程设计要求的主要步骤有: 1、明确所选课题的设计目的和任务,对设计课题进行具体分析,充分了解系 统的性能、指标、内容等。 2、进行方案选择。根据掌握的知识和资料,针对系统提出的任务、要求和条 件,完成系统的功能设计。从多个方案中选择出设计合理、可靠、满足要求的一个方案。并且对方案要不断进行可行性和优缺点的分析,最后设计出一个完整框图。

3、原理设计; 4、调试阶段; 5、说明书编制。 本次课程设计在校内完成,主要方式是以理论设计为主,进行实验或计算机仿真,得出结论。 三、设计内容 本次综合课程设计内容为数字通信系统的性能分析与仿真。应该包括以下设计内容: 1、使用一种分组码或者卷积码进行信道纠错编码。 2、使用格雷码对数据进行映射。 3、使用MQAM举行调制,M可选择8、16、32、6 4、128、256。 4、选择合适的升余弦参数,使用升余弦对基带信号举行滤波。 5、在解调端,进行滤波、MQAM的解调、格雷码逆映射、纠错解码。 6、改变信噪比,分析系统性能。 四、设计内容介绍: MQAM是一种基本的相位-幅度联合调制方式。研究这种基本的数字调制信号的性能可以帮助学生理解数字通信的基本特点。 本次课程设计,学生可以自己选择符合要求的技术,如信道纠错编码可以是分组码或者卷积码,M必须选择数字8、16、32、64、128、256中的至少3个,以分析各种M下的QAM系统性能。应用Matlab进行仿真,仿真采用蒙特卡罗模型。仿真基本框图是:

通信联络系统设计方案

矿井通信联络系统技术方案 一、为满足本矿高效率协调等一级调度模式要求,计划建设生产调度通信网的有线通信系统,实现录音、强拆、强插、全呼、组呼、直通、一键直拨等调度功能,该项目要求总调度室可直接通过调度台控制其系统内的所有内部用户,使得总调能和各地点之间进行实现通话、强插、录音等。本次系统项目主要应注意总调(调度中心)与各地点通信设备的对接问题,以及设备间互相通话及在紧急状态下强插各生产岗位电话发布紧急命令。 二、规范性引用文件 B/T 2887 电子计算机场地通用规范; GB 3836.1 爆炸性气体环境用电气设备第1部分:通用要求 GB 3836.2 爆炸性气体环境用电气设备第2部分:隔爆型“d” GB 3836.3 爆炸性气体环境用电气设备第3部分:增安型“e” GB 3836.4 爆炸性气体环境用电气设备第4部分:本质安全型“i” GB/T 17626.3-1998 电磁兼容试验和测量技术射频电磁场辐射抗扰度试验(idt IEC 61000-4-3:1995) GB/T 17626.4-1998 电磁兼容试验和测量技术电快速瞬变脉冲群抗扰度试验(idt IEC 61000-4-4:1995) GB/T 17626.5-1999 电磁兼容试验和测量技术浪涌(冲击)抗扰度试验(idt IEC 61000-4-5:1995) MT 209-90 煤矿通信,检测,控制用电工电子产品通用技术要求 MT 210-90 煤矿通信,检测,控制用电工电子产品基本试验方法 MT 211-90 煤矿通信、检测、控制用电工电子产品质量检测规则 MT 286 煤矿通信、自动化产品型号编制方法和管理办法 MT 401 煤矿生产调度通信系统通用技术条件 MT/T 722-1998 煤矿监控主要性能测试方法 MT/T 899 煤矿用信息传输装置 三、术语和定义

网络编程实验报告

实验一TCP Socket API程序设计 一、预备知识 1.网络编程基本概念 网络上的计算机间的通讯,实质上是网络中不同主机上的程序之间的通讯。在互联网中使用IP地址来标识不同的主机,在网络协议中使用端口号来标识主机上不同进程,即使用(IP地址,端口号)二元组。 套接字(Socket)用于描述IP地址和端口,是一个通信链的句柄,通信时一个网络程序将要传输的一段信息写入它所在主机的Socket中,该Socket通过与网络接口卡相连的传输介质将这段信息发送到另一台主机的Socket中,以供其他程序使用。 图1-1 TCP通信流程 2.TCP通信流程 TCP程序是面向连接的,程序运行后,服务器一直处于监听状态,客户端与

服务器通信之前必须首先发起连接请求,由服务器接收请求并在双方之间建立连接后才可以互相通信。 二、实验目的 1.了解Winsock API编程原理; 2.掌握TCP Socket程序的编写; 3.了解C/S模式的特点; 4.学会解决实验中遇到的问题。 三、实验任务 使用Winsock API相关类实现TCP Socket通信程序,并能成功运行。 四、实验环境及工具 1. Windows2000/XP/7 2. Visual C++开发平台 3. Visual Studio2010 五、实验内容和步骤 参照《Visual C++网络编程教程》书中81页,TCP Socket API程序设计。 连接: void CChatClientDlg::OnConnect() { WSADATA wsd; //WSADATA结构 WSAStartup(MAKEWORD(2,2),&wsd); //加载协议,使用Winsock 2.2版 m_client = socket(AF_INET,SOCK_STREAM,0); //创建流式套接字 //服务器地址 sockaddr_in serveraddr; UpdateData(); if(ServerIP.IsBlank()) { AfxMessageBox("请指定服务器IP!"); return; } if(sPort.IsEmpty()) { AfxMessageBox("请指定端口!"); return; }

通信与现场总线课程设计报告书

电气工程学院 通信与现场总线课程设计

目录 一:设计任务 (4) 理想模型: (4) 实验中用到的任务模型 (5) 二:力控软件平台建立的实验模型 (5) 三、实验设备与仪器 (6) 四、设计思路与过程 (6) 五、调试和功能 (13) 六、联机调试:C/S方式的远程控制 (26) 七、课设总结与心得 (29)

(一)本次课程设计题目: 通过三维力控组态软件实现对搅拌罐的网络控制 (二)主要容及要求 在组态软件Forecontrol V6.1平台上,通过工业以太网,分别以C/S方式(客户端/服务器)及B/S方式(浏览器/服务器)完成对SIEMENS的可编程序控制器通过工业现场总线PROFIBUS方式与2台SIEMENS MM440变频器控制的三相异步电机的实际工程平台,实现对搅拌罐PLC控制系统(含本地控制和远程控制)的网络控制。 独立完成,承担系统设计、系统分析、组态软件的学习与编程、网络系统调试等任务,要求提供最终的解决程序(验收)和相关文件,并以报告论文方式说明实现的思路及工程应用前景。 (三)进度安排: (1)在第一次课堂上了解并知道了Forecontrol V6.1软件的初步使用。 (2)根据相关资料,熟悉并设计并完成客户端组态软件的实际工艺流程界面界面的绘制。 (3)对搅拌罐工程相关控制进行了编程。 (4)熟悉服务器端通信参数的要求,完成C/S的网络控制。 (4)3月30日在实验室完成整个系统的软件调试及最后联机调试。 (5)撰写设计报告。

通过三维力控组态软件实现 对搅拌罐的网络控制 一:设计任务 在组态软件Forecontrol V6.1平台上,通过工业以太网,分别以C/S方式(客户端/服务器)及B/S方式(浏览器/服务器)完成对SIEMENS的可编程序控制器通过工业现场总线PROFIBUS方式与2台SIEMENS MM440变频器控制的三相异步电机的实际工程平台,实现对搅拌罐PLC控制系统(含本地控制和远程控制)的网络控制。 本次课程设计中,我们主要运用了C/S(客户端/服务器)方式,实现对搅拌罐PLC控制系统(含本地控制和远程控制)的网络控制。 理想模型:

数字通信系统设计实验报告

实验1:用 Verilog HDL 程序实现乘法器 1实验要求: (1) 编写乘法器的 Veirlog HDL 程序. (2) 编写配套的测试基准. (3) 通过 QuartusII 编译下载到目标 FPGA器件中进行验证 (4) 注意乘法逻辑电路的设计. 2 试验程序: Module multiplier(input rst,input clk,input [3:0]multiplicand, input [3:0]multiplier,input start_sig,output done_sig,output [7:0]result); reg [3:0]i; reg [7:0]r_result; reg r_done_sig; reg [7:0]intermediate; always @ ( posedge clk or negedge rst ) if( !rst ) begin i<=4'b0; r_result<=8'b0; end else if(start_sig) begin case(i) 0: begin intermediate<={4'b0,multiplicand}; r_result<=8'b0; i<=i+1; end 1,2,3,4: begin if(multiplier[i-1]) begin r_result<=r_result+intermediate; end intermediate<={intermediate[6:0],1'b0}; i<=i+1; end 5: begin r_done_sig<=1'b1;

i<=i+1; end 6: begin r_done_sig<=1'b0; i<=1'b0; end endcase end assign result=r_done_sig?r_result:8'bz; assign done_sig=r_done_sig; endmodule3 测试基准: `timescale 1 ps/ 1 ps module multiplier_simulation(); reg clk; reg rst; reg [3:0]multiplicand; reg [3:0]multiplier; reg start_sig; wire done_sig; wire [7:0]result; /***********************************/ initial begin rst = 0; #10; rst = 1; clk = 1; forever #10 clk = ~clk; end /***********************************/ multiplier U1 ( .clk(clk), .rst(rst), .multiplicand(multiplicand), .multiplier(multiplier), .result(result), .done_sig(done_sig), .start_sig(start_sig) ); reg [3:0]i; always @ ( posedge clk or negedge rst ) if( !rst )

通讯系统施工方案

通讯系统施工方案. 上海梅山钢铁股份有限公司 1号、2号烧结机易地大修技术改造工程

方案通讯系统安装调试 总包方:中冶长天国际工程有限责任公司梅钢烧结工程分公司 施工经理: 项目经理: 宝冶电装建设有限公司分包方: 梅钢项目经理部 编制:审核:

批准: 22009年月日6 目录 3卷 ........................................ 本项目工程概况第1 3卷 .................................. 对关键工序的施工安排第2 3........................... 前期准备与现场施工的配合第1章 4................................... 施工高峰期的工作第2章4.............................................. 施工依据第3卷 4 ........................................... 第3章施工规范 4 ................................. 第4章施工用图及参考文件 4 卷.............................................. 施工准备第45主要技术方案与措施5卷 .................................... 第5........................................... 章线路敷设第5

5........................................... 章设备布局第6 6....................................... 电缆桥架安装第7 章 ............................ ........................ 6运 1第节搬 ............................. ....................... 6储节第2存9章第8配 线 ............................................... 9........................................... 章系统调试 9第017第卷 ......................................... 质量安全措施0章1质量措施 .......................................... 10第011第章.......................................... 安全措施 1 本项目工程概况 工程名称:1#、2#烧结机易地大修技术改造通讯系统安装工程

通讯录管理系统课程设计报告书(C语言)[1]

开放教育 课程设计报告书 课程名称:通讯录管理系统 班级:综合邮政07秋 学号: 0 姓名:王芬 指导老师:高海东

通讯录管理系统 一.需求分析 设计题目及要求: ◆建立通讯录信息,信息至少包含编号、姓名、年龄、电话、通讯地址、电子 邮箱等; ◆能够提供添加、删除和修改通讯录信息的功能; ◆能够提供安不同方式查询的功能;如按姓名或年龄、电话等查询; ◆将通讯录保存在文件中; ◆能够按表格方式输出通讯录信息。 系统功能需求分析: 主要包含一下多种功能: 添加:添加通讯录记录 显示:显示通讯录记录 删除:删除通讯录记录 查询:查询通讯录记录 修改:修改通讯录记录 保存:将信息保存到文件 二.概要设计 系统功能模块图:

添加:可以添加通讯录记录,依次输入编号、姓名、年龄、电话号码、通讯地址、电子邮箱后,会提示是否继续添加。 显示:可以以表格形式输出所有通讯录里的记录 删除:输入欲删除的那个人的名字后,会自动删除他(她)的记录内容 查询:可以选择用姓名、电话、地址三种方式查询 修改:输入欲修改的那个人的名字后,再依次输入编号、姓名、年龄、电话号码、通讯地址、电子邮箱即可完成修改 保存:,输入文件名(带后缀名)后,即可将通讯录信息保存到文件 三.详细设计 (1).通讯录: typedef struct { char score; /*编号*/ char name[10]; /*姓名*/ char num[15]; /*号码*/ char email[20]; /*邮箱*/ char age[8]; /*年龄*/ char adds[20]; /*住址*/ }Person;

杭电通信系统课程设计报告实验报告

通信系统课程设计实验报告 XX:田昕煜 学号:13081405 班级:通信四班 班级号:13083414 基于FSK调制的PC机通信电路设计

一、目的、容与要求 目的: 掌握用FSK调制和解调实现数据通信的方法,掌握FSK调制和解调电路中相关模块的设计方法。初步体验从事通信产品研发的过程. 课程设计任务:设计并制作能实现全双工FSK调制解调器电路,掌握用Orcad Pspice、Protel99se进行系统设计及电路仿真。 要求:合理设计各个电路,尽量使仿真时的频率响应和其他参数达到设计要求。尽量选择符合标称值的元器件构成电路,正确完成电路调试。 二、总体方案设计 信号调制过程如下: 调制数据由信号发生器产生(电平为TTL,波特率不超过9600Baud),送入电平/幅度调整电路完成电平的变换,再经过锁相环(CD4046),产生两个频率信号分别为30kHz和40kHz(发“1”时产生30kHz方波,发“0”时产生40kHz方波),再经过低通滤波器2,变成平滑的正弦波,最后通过线圈实现单端到差分信号的转换。

信号的解调过程如下: 首先经过带通滤波器1,滤除带外噪声,实现信号的提取。在本设计中FSK 信号的解调方式是过零检测法。所以还要经过比较器使正弦信号变成方波,再经过微分、整流电路和低通滤波器1实现信号的解调,最后经过比较器使解调信号成为TTL电平。在示波器上会看到接收数据和发送数据是一致的。 各主要电路模块作用: 电平/幅度调整电路:完成TTL电平到VCO控制电压的调整; VCO电路:在控制电压作用下,产生30KHz和40KHz方波; 低通2:把30KHz、40KHz方波滤成正弦波; 线圈:完成单端信号和差分信号的相互转换; 带通1:对带外信号抑制,完成带信号的提取; 限放电路:正弦波整形成方波,同时保留了过零点的信息; 微分、整流、脉冲形成电路:完成信号过零点的提取; 低通1:提取基带信号,实现初步解调; 比较器:把初步解调后的信号转换成TTL电平 三、单元电路设计原理与仿真分析 (1)带通1(4阶带通)-- 接收滤波器(对带外信号抑制,完成带信号的提取) 要求通带:26KHz—46KHz,通带波动3dB; 阻带截止频率:fc=75KHz时,要求衰减大于10dB。经分析,二级四阶巴特沃斯带通滤波器来提取信号。 具体数值和电路见图1仿真结果见图2。

GPRS无线通信系统设计方案

MSC1210的GPRS无线通信系统设计 引言 近年来,通信技术和网络技术的迅速发展,特别是无线通信 技术的发展,使得电力系统的自动化程度进一步提高。GSM网络出现后,技术人员很快把GSM模块嵌入到各种仪表仪器中,如多功能电能表、故障测录仪、抄表系统和用电负荷监控等,从而使这些仪表仪器具有远程通信功能。 GPRS是在现有GSM系统上发展出来的一种新的数据承载业务,支持TCP/IP协议,可以与分组数据网(Internet等)直接互通。GPRS无线传输系统的应用围非常广泛,几乎可以涵盖所有的中低业务和低速率的数据传输,尤其适合突发的小流量数据传输业务。 本文设计的GPRS无线通信模块,嵌了TCP/IP协议,采用工业级的GPRS模块,适用于单片机数据采集传输系统没有TCP/IP协议栈,但使用串口通信的情况。 1 GPRS通信原理及应用特点 1.1 GPRS简介 GPRS是通用无线分组业务(General Packet Radio System)的缩写,是介于第二代和第三代之间的一种技术,通常称为2.5G。GPRS采用与GSM相同的频段、频带宽度、突发结构、无线调制标准、跳频规则以及相同的TDMA帧结构。因此,在GSM系统的基础上构建GPRS系统时,GSM系统中的绝大部

分部件都不需要作硬件改动,只需作软件升级。有了GPRS,用户的呼叫建立时间大大缩短,几乎可以做到“永远在线”。此外, GPRS是以营运商传输的数据量而不是连接时间为基准来计费,从而令每个用户的服务成本更低。 1.2 基本工作原理 GPRS是在原有的基于电路交换(CSD)方式的GSM网络上引入两个新的网络节点: GPRS服务支持节点(SGSN)和网关支持节点(GGSN)。SGSN和MSC在同一等级水平,并跟踪单个MS的存储单元实现安全功能和接入控制,并通过帧中继连接到基站系统。GGSN支持与外部分组交换网的互通,并经由基于IP的GPRS骨干网和SGSN连通。图1给出了GPRS与Internet连接原理框图。 GPRS终端通过接口从客户系统取得数据,处理后的GPRS分组数据发送到GSM基站。分组数据经SGSN封装后,SGSN通过GPRS骨干网与网关支持接点GGSN进行通信。GGSN对分组数据进行相应的处理,再发送到目的网络,如Internet或X.25网络。 若分组数据是发送到另一个GPRS终端,则数据由GPRS骨干网发送到SGSN,再经BSS发送到GPRS终端。 2 嵌入式GPRS通信系统的实现 2.1 GPRS模块的硬件设计

通信原理设计报告(7_4)汉明码的编解码设计

目录 前言...............................................................1第1章设计要求.................................................3第2章 QuartusⅡ软件介绍.......................................4第3章汉明码的构造原理........................................6 3.1 (7,4)汉明码的构造原理........................................6 3.2 监督矩阵H与生成矩阵G.........................................7 3.3 校正子(伴随式S)..............................................8第4章(7,4)汉明码编码器的设计............................10 4.1 (7,4)汉明码的编码原理及方法.................................10 4.2 (7,4)汉明码编码程序的设计...................................10 4.3 (7,4)汉明码编码程序的编译及仿真.............................11第5章(7,4)汉明码译码器的设计...........................12 5.1 (7,4)汉明码的译码方法......................................12 5.2 (7,4)汉明码译码程序的设计..................................13 5.3 (7,4)汉明码译码程序的编译及仿真............................15第6章(7,4)汉明码编译码器的设计........................17 6.1 (7,4)汉明码编译码器的设计..................................17参考文献.........................................................18体会与建议.......................................................19附录..............................................................20

通信系统仿真实验报告(DOC)

通信系统实验报告——基于SystemView的仿真实验 班级: 学号: 姓名: 时间:

目录 实验一、模拟调制系统设计分析 -------------------------3 一、实验内容-------------------------------------------3 二、实验要求-------------------------------------------3 三、实验原理-------------------------------------------3 四、实验步骤与结果-------------------------------------4 五、实验心得------------------------------------------10 实验二、模拟信号的数字传输系统设计分析------------11 一、实验内容------------------------------------------11 二、实验要求------------------------------------------11 三、实验原理------------------------------------------11 四、实验步骤与结果------------------------------------12 五、实验心得------------------------------------------16 实验三、数字载波通信系统设计分析------------------17 一、实验内容------------------------------------------17 二、实验要求------------------------------------------17 三、实验原理------------------------------------------17 四、实验步骤与结果------------------------------------18 五、实验心得------------------------------------------27

GPRS无线通信系统设计方案

GPRS无线通信系统 设计方案 1

MSC1210的GPRS无线通信系统设计 引言 近年来,通信技术和网络技术的迅速发展,特别是无线通信技术的发展,使得电力系统的自动化程度进一步提高。GSM网络出现后,技术人员很快把GSM模块嵌入到各种仪表仪器中,如多功能电能表、故障测录仪、抄表系统和用电负荷监控等,从而使这些仪表仪器具有远程通信功能。 GPRS是在现有GSM系统上发展出来的一种新的数据承载业务,支持TCP/IP协议,能够与分组数据网(Internet等)直接互通。GPRS无线传输系统的应用范围非常广泛,几乎能够涵盖所有的中低业务和低速率的数据传输,特别适合突发的小流量数据传输业务。 本文设计的GPRS无线通信模块,内嵌了TCP/IP协议,采用工业级的GPRS模块,适用于单片机数据采集传输系统没有TCP/IP协议栈,但使用串口通信的情况。

1 GPRS通信原理及应用特点 1.1 GPRS简介 GPRS是通用无线分组业务(General Packet Radio System)的缩写,是介于第二代和第三代之间的一种技术,一般称为2.5G。GPRS采用与GSM相同的频段、频带宽度、突发结构、无线调制标准、跳频规则以及相同的TDMA帧结构。因此,在GSM系统的基础上构建GPRS系统时,GSM系统中的绝大部分部件都不需要作硬件改动,只需作软件升级。有了GPRS,用户的呼叫建立时间大大缩短,几乎能够做到”永远在线”。另外, GPRS是以营运商传输的数据量而不是连接时间为基准来计费,从而令每个用户的服务成本更低。 1.2 基本工作原理 GPRS是在原有的基于电路交换(CSD)方式的GSM网络上引入两个新的网络节点: GPRS服务支持节点(SGSN)和网关支持节点(GGSN)。SGSN和MSC在同一等级水平,并跟踪单个MS的存储单元实现安全功能和接入控制,并经过帧中继连接到基站系统。GGSN支持与外部分组交换网的互通,并经由基于IP的GPRS骨干网和SGSN连通。图1给出了GPRS与Internet连接原理框图。 3

算法程序设计实验报告

程序设计》课程设计 姓名:王 学号:20100034 班级:软件工程00 班 指导教师:王会青 成绩: 2010年 6 月 实验一.构造可以使n 个城市连接的最小生成树 专业:__软件工程___ 班级:__软件姓名:_王___ 学号:_20100034 完成日期:_2010/6/26 ________ 一、【问题描述】给定一个地区的n 个城市间的距离网,用Prim 算法或Kruskal 算法建立最小生成树,并计算得到的最小生成树的代价。 1 城市间的道路网采用邻接矩阵表示,邻接矩阵的存储结构定义采用课本中给出的定义,若两个城市之间不存在道

路,则将相应边的权值设为自己定义的无穷大值。 2 显示出城市间道路网的邻接矩阵。 3 最小生成树中包括的边及其权值,并显示得到的最小生成树的总代价。 4 输入城市数、道路数→输入城市名→输入道路信息→执行Kruskal 算法→执行Prim 算法→输出最小生成树 二、【问题分析】 1. 抽象数据类型结构体数组的定义: #ifnd ef ADJACENCYMATRIXED// 防止该头文件被重复引用 #define ADJACENCYMATRIXED // 而引起的数据重复定义 #define INFINITY 32767 // 最大值∞ #define MAX_VERTEX_NUM 20 // 最大顶点个数 typedef int VRType; // 权值,即边的值 typedef char InfoType; // 附加信息的类型,后面使用时会定义成一个指针 typedef char VertexType[MAX_VERTEX_NUM]; // 顶点类型 typedef enum {DG=1, DN, UDG, UDN} GraphKind; //{ 有向图,有向网,无向图,无向网} typedef struct ArcCell { VRType adj; //VRType 是顶点关系类型。对无权图,用1 或0 表示相邻否;对带权图,则为权值类型。 InfoType*info; // 该弧关系信息的指针

通信原理课程设计报告2

¥ 课程设计报告? < 课程名称通信原理 设计题目 DSB与2ASK调制与解调 专业通信工程 班级 学号 姓名 完成日期 …

课程设计任务书 设计题目:DSB与2ASK调制与解调 设计内容与要求: 设计内容: 1.根据DSB的调制原理设计线路,进行仿真模拟调制DSB的调制和解调过程,并通过仿真软件观察信号以及的调制过程中信号波形和频谱的变化。 2. 根据ASK的调制原理设计线路,进行仿真模拟调制DSB的调制和解调过程,并通过仿真软件观察信号以及的调制过程中信号波形和频谱的变化。 3.在设计过程中分析信号变化的过程和思考仿真过程的设计原理。 ; 设计要求: 1.独立完成DSB与ASK的调制与解调; 2.运用仿真软件设计出DSB与ASK的调制线路 3.分析信号波形和频谱 指导教师:范文 2012年12月16日 课程设计评语 ( 成绩: 指导教师:_______________

年月日

一.调制原理: 调制: 将各种数字基带信号转换成适于信道传输的数字调制信号(已调信号或频带信号); 时域定义:调制就是用基带信号去控制载波信号的某个或几个参量的变化,将信息荷载在其上形成已调信号传输,而解调是调制的反过程,通过具体的方法从已调信号的参量变化中将恢复原始的基带信号。 频域定义:调制就是将基带信号的频谱搬移到信道通带中或者其中的某个频段上的过程,而解调是将信道中来的频带信号恢复为基带信号的反过程. 根据所控制的信号参量的不同,调制可分为: 调幅,使载波的幅度随着调制信号的大小变化而变化的调制方式。 调频,使载波的瞬时频率随着调制信号的大小而变,而幅度保持不变的调制方式。 调相,利用原始信号控制载波信号的相位。 调制的目的是把要传输的模拟信号或数字信号变换成适合信道传输的信号,这就意味着把基带信号(信源)转变为一个相对基带频率而言频率非常高的代通信号。该信号称为已调信号,而基带信号称为调制信号。调制可以通过使高频载波随信号幅度的变化而改变载波的幅度、相位或者频率来实现。调制过程用于通信系统的发端。在接收端需将已调信号还原成要传输的原始信号,也就是将基带信号从载波中提取出来以便预定的接受者(信宿)处理和理解的过程。该过程称为解调。

相关文档