文档库 最新最全的文档下载
当前位置:文档库 › Lab 2 二与非门电路原理图设计

Lab 2 二与非门电路原理图设计

Lab 2  二与非门电路原理图设计
Lab 2  二与非门电路原理图设计

Lab 2 二与非门电路原理图设计

1.实验目的

1.1了解Schematic设计环境

1.2掌握二与非门电路原理图输入方法

1.3掌握逻辑符号创建方法

2.实验原理

2.1Schematic设计环境

启动Schematic Editor后,在命令解释窗口CIW中,打开任意库与单元中的Schematic视图,浏览Schematic Editing窗口如图2.1所示,顶部为菜单栏(Menu),左侧为图标栏(Icon Bar),具体介绍如下:

图2.1 Schematic Editing窗口

菜单栏

菜单栏中可选菜单有Tool、Design、Window、Edit、Add、Check、Sheet、Options等项。其中常用菜单有:

Tool菜单提供设计工具以及辅助命令。比如,lab4、lab5所使用的仿真工具ADE,就在Tool下拉菜单中。

Window菜单中的各选项有调整窗口的辅助功能。比如,Zoom选项对窗口放大(Zoom in)与缩小(Zoom out),fit选项将窗口调整为居中,redraw选项为刷新。

Edit菜单实现具体的编辑功能,主要有取消操作(Undo)、重复操作(Redo)、拉伸(Stretch)、拷贝(copy)、移动(Move)、删除(Delete)、旋转(Rotate)、属性(Properties)、选择(Select)、查找(Search)等子菜单,在以下实验中将大量应用。

Add菜单用于添加编辑所需要的各种素材,比如元件(Instance)或输入输出端点(pin)等。

图标栏

图标栏内的所有命令都可以在菜单栏实现,图标栏提供使用频率较高的一些

菜单为快捷方式,旨在提高设计效率。从上至下的图标共有:

检查错误并存档(Check and Save)、放大(Zoom in)、缩小(Zoom out)、图形拉伸(Stretch)、拷贝(copy)、删除(Delete)、重复操作(Redo)、属性(Properties)、添加元件(Add Instance)、细连线(Wire Narrow)、粗连线(Wire Wide)、连线命名(Wire Label)、添加输入输出端点(Add pin)、命令选择(Command Options)等。

盲键

在设计过程中,除了可以使用图标快捷方式外,还有盲键(Bindkey)快捷方式。比如添加元件,可以在Add菜单下选择Instance来弹出Add Instance窗口,也可以点击图标Add Instance来弹出Add Instance窗口,盲键快捷方式则为直接在键盘上按“i”键即可。对比三种方式,盲键最为方便快捷。

Cadence系统安装过程中已经设置了通用的盲键,但用户可以根据自己的需要自行设置,在CIW窗口中,选择Options→Bindkeys,可以对所有设置的盲键自定义。常用盲键在Edit和Add等菜单中都有定义,点击选择Edit,下拉菜单中的Stretch选项的盲键为[m],而Move选项的盲键为[M],Select选项中的Filter 则为[^r]。用[ ]来表示盲键是Cadence系统自带的习惯,本实验系统中予以保留。三种盲键分别表示为:

[m]:直接在键盘按键m

[M]:表示大写的M,同时按键Shift和m

[^r]:同时按键Ctrl和r

鼠标

Cadence系统支持3D鼠标,左、中、右分别定义为LMB、MMB、RMB。LMB用于点击和选择之用,MMB用于辅助编辑,比如拷贝、粘贴、删除等,RMB与LMB配合使用,在调查元件属性,局域放大,元件旋转等方面都有应用,在具体实验过程中有详细说明。

电路原理图编辑环境,除了以上的菜单、图标、盲键、鼠标之外,尚有许多需要注意的地方,只能在设计过程中具体处理。

2.2 元件定义

二与非门电路比较简单,读者可以自行解决。需要注意的是,在所有元件的添加中,必须定义元件的属性。比如nmos与nmos4,前者表示普通的nmos,默认为具有源、漏、栅极的三端器件;后者表示四端器件,在源、漏、栅三极之外,还有衬底作为一极,其具体偏置由具体电路决定。其次,每个元件必须有器件参数,比如mos管的宽长比,电阻的阻抗等。最后,为了后续设计中执行仿真,每个元件必须具有物理模型(Model),在lab3中将有实例说明。

3.实验内容

3.1电路原理图设计

创建库与视图

lab1中创建的库与视图如果仍存在,则没有必要再行创建,直接调用即可。在CIW中选择File→open,在弹出窗口中选择如下:

Library Name:mylib

Cell Name:nand2

View Name:Schematic

点击OK,打开Schematic Editing的空白窗口。以下步骤为创建库与视图的

过程。

①在命令解释窗口CIW中,依次选择File→New→Library,打开New Library

窗口。

②在New Library窗口中,Name栏输入库文件名mylib(可以自定义),右侧

工艺文件(Technology File)栏中,选择最下方的Don’t need a techfile,点击窗口左上角的OK。

③在CIW中,选择file→new→cellview,打开Create New File窗口。

④在Create New File窗口中,Library Name选取为mylib(与刚才定义一致),

Cell Name设置为nand2,View Name选取为Schematic,Tool栏选取为Composer-Schematic,点击OK,弹出Schematic Editing的空白窗口。

添加元件(电路如图2.2所示)

图2.2 二与非门电路原理图

1在Schematic Editing窗口中,选择Add→Instance,打开instance窗口如图

2.3所示,在窗口中点击右侧Browse按钮,弹出Library Browser窗口如图

2.4所示,在Library栏中选择analogLib,Cell选择nmos4,Cellview选择

为symbol。

图2.3 instance窗口图2.4 Library Browser窗口

注意:添加元件的方法

方法一:选择Add→instance,弹出instance窗口;

方法二:选择Tool bar图标栏中的instance图标,可弹出instance窗口;

方法三:在键盘上按键“i”也可以弹出instance窗口(盲键的使用)。

2在Instance form中输入nmos4的参数如下:

model name trnmos

width 2.0u

length 0.5u

3移动LMB(鼠标左键)到schematic窗口,刚才选择的nmos4元件以高亮度(黄色)出现,点击LMB完成添加过程。

4选择Add→Instance,在Library column中选择analogLib,再选择pomos4,在视图中选择symbol,参数设置为:

model name:trpmos

width: 2.5u

length:0.5u

5添加完4个元件后,按ESC键(退出当前操作状态,此后均同)。

添加Pins

①在左侧Tool bar图标栏中选择pin icon图标,出现Add form窗口如图2.5

所示,在Pin names栏中输入如下(注意Pin names之间有空格):

Pin names INA INB OUT

设置Direction为input

设置Usage为schematic

图2.5 Add form窗口图2.6 Add Wire Name窗口

②点击Rotate(或鼠标右键RMB),可完成逆时钟旋转90度的操作。

③移动LMB到Schematic窗口,点击LMB可完成Pins的添加。

④依次完成INA ,INB ,OUT 三个pin的添加。最后按ESC键。

添加Sources和Ground

①按盲键[i],激活Add instance form窗口。

②和选择nmos4方法相同,选择Add→Instance,在Library column中选择

analogLib,再选择Vdd并添加到schematic中。

③同上方法,完成gnd的添加,按ESC键。

连线

①点击tool bar栏中的wire(narrow)图标。

②移动LMB到Schematic窗口,将需要连接的两个端点依次点击LMB,可

完成连线。

③将poms4的衬底与Vdd相连,nmos4的衬底与gnd 相连。衬底为栅极所对

应的右侧的那条短线。

连线命名

①点击Wire Name图标,弹出窗口如图2.6所示,在窗口中输入ndrain,其

它不变。

②将命名移至上面一个nmos4的源端(source),点击LMB。

③strench(拉伸)移动过的线至合适位置并点击它,完成以上操作后,按键

Esc。

④点击tool bar栏中的check and save 图标,检查电路图无误后存档。

注意:Virtuoso Schematic Editor环境下,电路布线时,因为存在两条不相交的走线“过桥”问题,不相交的走线间没有节点,故而,Cadence系统默认所有的两条走线在形成十字时,都是没有节点的过桥问题。在应当有节点的时候,只能形成丁字形式的节点,而不能形成十字形式的节点,否则,在check and save时提示为Warning。注意到图2.2中,输出Y pin的连线没有直接与pmos4的源端相交在同一节点,而是在M1与M2连线之间形成了两个节点。

3.2创建符号

3.2.1生成符号

①在CIW窗口中,依次选择Tools→library manager→mylib→nand2,如图2.7

所示。双击view column中的schematic选项,在schematic中,依次选择:design→create cellview→From cellview。

图2.7 cellview From cellview

②各项选择如下:

Library name mylib

Cell name nand2

From view name schematic

To view name symbol

Tool/Data type: Composer-symbol

选择完成后点击OK,弹出Symbol Generation Options窗口,如图2.8所示。

图2.8 Symbol Generation Options

③在Symbol Generation Options窗口中,请确定:

Lift pins INA INB

Right pins OUT

点亮Load/Save按钮,点击OK(在窗口顶端),自动生成nand2 symbol,如图2.9所示。

图2.9 二与非门逻辑符号草图

3.2.2编辑符号

①用LMB选择红色的边框box,按键“m”移动边框box至上一格(移动一

格)。用LMB选择整个pin INA,按键“m”向上移动一格(拉开INA与INB使其间隔两格)。

②选定绿色长方形边框,点击del图标将其删除。选定,并移动至框图下方

空白处。重复步骤,移动三个cds Param。

注意:cds Param与cds Term(共六个)均可删除,不影响符号的编辑。

③在编辑窗口中,依次选择Add→shape→arc,在窗口的空白处点击LMB完

成添加。

④点击LMB确定弧线形状,将做好的弧线拖入box,利用F3可以实现旋转,

将弧线开口一侧移至距离INA与INB2-3格处。

⑤依次选择Add→shape→line,以LMB点击弧线左上角端点,向左延长3格,

向下做成一个直角拐角并向下延长4格,再向左延长3格与弧线左下角端点相接。

⑥选择Add→shape→circle,将圆添加到弧线右侧的中部位置。

⑦将pins(INA,INB,OUT)与添加的图形组合,如图2.10所示。

⑧选择Design→Check and save。

图2.10 nand2 symbol

4附加实验

4.1设计CMOS反相器原理图,如图2.11所示。

4.2设计CMOS反相器逻辑符号,如图2.12所示。

图2.11 CMOS反相器原理图图2.12 CMOS反相器逻辑符号5预习要求

阅读实验原理部分,了解Schematic设计环境。

6实验报告

①列出Schematic设计环境中本实验所用菜单的功能。

②分析并总结电路原理图设计的一般流程。

集成电路课程设计(CMOS二输入及门)

) 课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) & 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 | 学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 # 摘要 (2) 绪论…....………………………………………….………………….. ..3 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 、二输入与门电路 (8) } 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计........................ (20) 、PMOS管版图设计 (20) 、NMOS管版图设计 (22) 、与门版图设计 (23)

输入与非门电路版图设计

成绩评定表

课程设计任务书

目录 1 绪论 (1) 1.1设计背景 (1) 1.2设计目标 (1) 2 四输入与非门电路 (2) 2.1电路原理图 (2) 2.2四输入与非门电路仿真观察波形 (2) 2.3四输入与非门电路的版图绘制 (3) 2.4四输入与非门版图电路仿真观察波形 (4) 2.5LVS检查匹配 (5) 总结 (7) 参考文献 (8) 附录一:电路原理图网表 (9) 附录二:版图网表 (10)

1 绪论 1.1 设计背景 tanner是用来IC版图绘制软件,许多EDA系统软件的电路模拟部分是应用Spice程序来完成的,而tanner软件是一款学习阶段应用的版图绘制软件,对于初学者是一个上手快,操作简单的EDA软件。 Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows 平台的用于集成电路设计的工具软件。该软件功能十分强大,易学易用,包括S-Edit,T-Spice,W-Edit,L-Edit与LVS,从电路设计、分析模拟到电路布局一应俱全。其中的L-Edit版图编辑器在国内应用广泛,具有很高知名度。 L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案。L-Edit Pro丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。 1.2设计目标 1.用tanner软件中的原理图编辑器S-Edit编辑四输入与非门电路原理图。 2.用tanner软件中的W-Edit对四输入与非门电路进行仿真,并观察波形。 3.用tanner软件中的L-Edit绘制四输入与非门版图,并进行DRC验证。 4.用W-Edit对四输入与非门的版图电路进行仿真并观察波形。 5.用tanner软件中的layout-Edit对四输入与非门进行LVS检验观察原理图与版图的匹配程度。

电路四输入与非门设计

课程设计任务书 学生姓名:专业班级:电子1003班 指导教师:封小钰工作单位:信息工程学院 题目: CMOS四输入与非门电路设计 初始条件: 计算机、ORCAD软件、L-EDIT软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:2周 2、技术要求: (1)学习ORCAD软件、L-EDIT软件。 (2)设计一个CMOS四输入与非门电路。 (3)利用ORCAD软件、L-EDIT软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 2013.11.22布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 2013.11.25-11.27学习ORCAD软件、L-EDIT软件,查阅相关资料,复习所设计内容的基本理论知识。 2013.11.28-12.5对CMOS四输入与非门电路进行设计仿真工作,完成课设报告的撰写。 2013.12.6 提交课程设计报告,进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

摘要........................................................................ I Abstract ................................................................... II 1 绪论 (1) 2 设计内容及要求 (2) 2.1 设计的目的及主要任务 (2) 2.2 设计思想 (2) 3软件介绍 (3) 3.1 OrCAD简介 (3) 3.2 L-Edit简介 (4) 4 COMS四输入与非门电路介绍 (5) 4.1 COMS四输入与非门电路组成 (5) 4.2 四输入与非门电路真值表 (6) 5 Cadence中四输入与非门电路的设计 (7) 5.1 四输入与非门电路原理图的绘制 (7) 5.2 四输入与非门电路的仿真 (8) 6 L-EDIT中四输入与非门电路版图的设计 (10) 6.1 版图设计的基本知识 (10) 6.2 基本MOS单元的绘制 (11) 6.3 COMS四输入与非门的版图设计 (13) 7课程设计总结 (14) 参考文献 (15)

三输入或门版图设计地

1绪论 1.1 设计背景 随着集成电路技术的日益进步,使得计算机辅助设计(CAD)技术已成为电路设计师不可缺少的有力工具[1]。国外电子线路CAD软件的相继推出与版本更新,使CAD技术的应用渗透到电子线路与系统设计的各个领域,如芯片版图的绘制、电路的绘图、模拟电路仿真、逻辑电路仿真、优化设计、印刷电路板的布线等。CAD技术的发展使得电子线路设计的速度、质量和精度得以保证。在众多的CAD 工具软件中,Spice程序是精度最高、最受欢迎的软件工具,tanner是用来IC 版图绘制软件,许多EDA系统软件的电路模拟部分是应用Spice程序来完成的,而tanner软件是一款学习阶段应用的版图绘制软件,对于初学者是一个上手快,操作简单的EDA软件。 Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows 平台的用于集成电路设计的工具软件。该软件功能十分强大,易学易用,包括S-Edit,T-Spice,W-Edit,L-Edit与LVS,从电路设计、分析模拟到电路布局一应俱全。其中的L-Edit版图编辑器在国应用广泛,具有很高知名度。 L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案[2]。L-Edit Pro 丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。 虽然SPICE开发至今已超过20年,然而其重要性并未随着制程的进步而降低。就国的设计环境而言,商用的SPICE模拟软件主要有Hspice、Pspice、SBTspice、SmartSpice与Tspice等。 HSpice是Spice程序应用在PC上的程序,它的主要算法与Spice相同。由于HSpice A/D程序集成了模拟与数字电路的仿真运算法,它不仅可以仿真单一

集成逻辑门电路及应用与门非门与非门

集成逻辑门电路及应用(与门,非门,与非门) 集成逻辑门电路的种类繁多,有反相器、与门和与非门、或门和或非门、异或门等,以下简单介绍几种常用的门电路及应 用电路。 1.集成逻辑门电路: (1)常用逻辑门电路图形符号 常用逻辑门电路图形符号见表1。 表1 常用逻辑门电路图形符号 (2)反相器与缓冲器 反相器是非门电路,74LS04是通用型六反相器,与该器件的逻辑功能且引脚排列兼容的器件有74HC04,CD4069等。74LS05也是六反相器,该器件的逻辑功能和引脚排列与74LS04相同,不同的是74LS05是集电极开路输出(0C门),在实际使用时,必须在输出端至电源正端接上拉电阻。 缓冲器的输出与输人信号同相位,它用于改变输人输出电平及提高电路的驱动能力,74LS07是集电极开路输出同相输出驱动器,该器件的输出高电压达30V,灌电流达40mA,与之兼容的器件有74HC07,74HCT07 等。 74LS04,CD4069引脚排列图如图1所示。

图1 74LS04,CD4069引脚排列图 (3)与门和门与非 与门和与非门种类繁多,常见的与门有2输入、3输入、4输入与门等;与非门有2输入、3输入、4输入、8输入等,常见的74LS系列(74HC系列)与门和与非门引脚排列图如图2所示。 图2 常见的74LS系列(74HC系列)与门和与非门引脚排列图 74LS08是四2输人与门,74LS00和CD4011是四2输入与非门,74LS20是双4输人与非门。 2.集成门电路的应用 (1)定时灯光提醒器 电路如图3所示,由六非门CD4069(仅用到其中两个非门,分别用IC-1和IC-2表示)和电阻、电容、电源等组成,此电路可以在1~25分钟内预定提醒时间,使用时,利用时间标尺预定时间,打开电源开关,定时器绿灯亮,表示开始计时,到了预定的时间,绿灯灭,红灯亮。

cad设计二输入讲解

《集成电路CAD》课程设计报告》 ——两输入或非门的设计 班级: 学号: 姓名: 指导教师:

一、设计要求 (1)绘制电路图 a、明确电路结构; b、明确电路中器件的类型、数目; c、明确电路中端口的数目以及所联接的信号类型; d、确定MOS的宽长比,确定MOS管的尺寸,沟长采用所用工艺规定的最 小条宽的整数倍。 (2)根据电路结构绘制版图 在正确的电路结构基础上,绘制版图: a、要求版图中电路的元件数目、类型以及尺寸与所画电路结构保持一致; b、要求元件之间连接正确,并与所确定电路结构保持一致; c、要求版图中电路的端口数目、位置与所确定电路保持一致; (3)DRC验证 绘制版图后要进行DRC验证: a、采用DRC规则文件对绘制版图进行DRC校验; b、根据校验提示语句修改版图直至正确为止,提交正确的DRC校验结果。 (4)撰写课程设计报告 按以下要求书写: a、报告严格按照以下提供模板格式书写; b、报告内容要含有原电路电路图以及所绘制版图的截图; c、报告内容要含有DRC校验结果(相关截图以及文件)。 二、设计目的 1、熟悉candence软件,并掌握其各种工具的使用方法。 2、用cadence设计一个三输入或非门,并画出仿真电路、版图、并验证其特性。 三、设计的具体实现 1.电路概述 二输入或非门有两个输入端A和B以及一个输出端Q,当A端或B端为高电平时输出为低电平,当两个输入都为低电平输出才为高,表达式如下所示: = Y+ A B

或非门的电路符号和真值表如图1所示: 图2 由于此次是用CMOS管构建的二输入或非门,而CMOS管的基本门电路有非门、与非门、或非门等,所以直接用CMOS管搭建出二输或非门电路。原理图如图二所示。 2.cadence简介: Cadence公司的电子设计自动化(Electronic Design Automation)产品涵盖了电子设计的整个流程,包括系统级设计,功能验证,IC综合及布局布线,模拟、混合信号及射频IC设计,全定制集成电路设计,IC物理验证,PCB设计和硬件仿真建模等。本次设计是基于cadence工具的三输入或非门的电路和版图设计。

三输入多数表决器版图设计

集成电路版图设计 课程设计报告 课题名称:三输入多数表决器 姓名: XXXX 学号: 21111111 班级:电子科学与技术班

1.概述 集成电路是一种微型电子器件或部件。它是采用一定的工艺,把一个电路中所需的晶体管等有源器件和电阻、电容等无源器件及布线互连在一起,制作在一小块半导体晶片上,封装在一个管壳内,执行特定电路或系统功能的微型结构;这样,整个电路的体积大大缩小,且引出线和接点的数目也可控制、大为减少,从而使电子元件向着微小型化、低功耗和高可靠性方面迈进一大步。目前,集成电路经历了小规模集成、中规模集成、大规模集成和超大规模集成。单个芯片上已经可以制作包含臣大数量晶体管的、完整的数字系统。 在整个集成电路设计过程中,版图设计是其中重要的一环。它是把每个原件的电路表示转换成集合表示,同时,元件间连接的线也被转换成几何连线图形。对于复杂的版图设计,一般把版图设计划分成若干个子版图进行设计,对每个子版图进行合理的规划和布图,子版图之间进行优化连线、合理布局,使其大小和功能都符合要求。 版图设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。在版图设计过程中,要进行定期的检查,避免错误的积累而导致难以修改。 2.设计要求 1) .设计一个三输入的多数表决器的版图。

2).分析三输入多数表决器的功能及逻辑关系。 3).用与非门的形式构建该表决器的电路图。 4).利用EDA工具PDT画出其相应版图。 5).利用几何设计规则文件进行在线DRC验证并修改版图。3.电路分析 根据三输入多数表决器的功能要求设计如果同意则输入1不同意输入0三输入表决器功能为有两个或者两个以上人同意则,则输出1,否者输出0,其真值表如下: 化简真值表得逻辑表达式表示并化简为: Out=A BC + A B C + AB C +ABC =AB+BC+AC = AB BC AC 这样可以用到三个两输入与非门和一个四输入与非门,达到逻辑功能和晶体管数量最小化的效果,节约了版图资源,减小了复杂程度。其逻辑电路图很容易得出如下:

7400TTL2输入端四与非门

7400TTL2输入端四与非门 7401TTL集电极开路2输入端四与非门7402TTL2输入端四或非门 7403TTL集电极开路2输入端四与非门7404TTL六反相器 7405TTL集电极开路六反相器 7406TTL集电极开路六反相高压驱动器7407TTL集电极开路六正相高压驱动器7408TTL2输入端四与门 7409TTL集电极开路2输入端四与门7410TTL3输入端3与非门

74107TTL带清除主从双J-K触发器74109TTL带预置清除正触发双J-K触发器7411TTL3输入端3与门 74112TTL带预置清除负触发双J-K触发器7412TTL开路输出3输入端三与非门74121TTL单稳态多谐振荡器 74122TTL可再触发单稳态多谐振荡器74123TTL双可再触发单稳态多谐振荡器74125TTL三态输出高有效四总线缓冲门74126TTL三态输出低有效四总线缓冲门7413TTL4输入端双与非施密特触发器

74132TTL2输入端四与非施密特触发器74133TTL13输入端与非门 74136TTL四异或门 74138TTL3-8线译码器/复工器 74139TTL双2-4线译码器/复工器7414TTL六反相施密特触发器 74145TTLBCD—十进制译码/驱动器7415TTL开路输出3输入端三与门74150TTL16选1数据选择/多路开关74151TTL8选1数据选择器 74153TTL双4选1数据选择器

74154TTL4线—16线译码器 74155TTL图腾柱输出译码器/分配器 74156TTL开路输出译码器/分配器 74157TTL同相输出四2选1数据选择器 74158TTL反相输出四2选1数据选择器7416TTL开路输出六反相缓冲/驱动器 74160TTL可预置BCD异步清除计数器74161TTL可予制四位二进制异步清除计数器74162TTL可预置BCD同步清除计数器74163TTL可予制四位二进制同步清除计数器74164TTL八位串行入/并行输出移位寄存器74165TTL八位并行入/串行输出移位寄存器

与非门

教学要求: 熟练掌握最简单的与、或、非门电路;掌握TTL 门电路、CMOS 门电路特点和逻辑功能(输入输出关系);掌握TTL 门电路、CMOS 门电路的电气特性;理解TTL 门电路、CMOS 门电路在应用上的区别。了解特殊的门电路,如OC 门,三态门,CMOS 传输门。 教学重点: TTL 门电路的外部特性,逻辑功能、电气特性。CMOS 门电路的外部特性,逻辑功能、电气特性。 2. 1 概述 门电路——用以实现各种基本逻辑关系的电子电路 正逻辑——用1 表示高电平、用0 表示低电平 负逻辑——用0 表示高电平、用1 表示低电子的情况。 2.2 分立元件门电路 2.2.1 二极管的开关特性 图2.2.1二极管静态开关电路及其等效电路 (a)电路图(b) 输入高电平时的等效电路(c)输入低电平时的等效电路

二、动态开关特性在高速开关电路中,需要了解二极管导通与截止间的快速转换过程。 图2.2.2二极管动态开关特性 (a)电路图(b)输入脉冲电压波形(c)实际电流波形 当输入电压U I 由正值U F 跃变为负值U R 的瞬间,V D 并不能立刻截止,而是在外加反向电压UR 作用下,产生了很大的反向电流I R ,这时i D =I R ≈- U R /R ,经一段时间 t rr后二极管V D 才进人截止状态,如图3. 2. 3 (c) 所示。通常将t rr称作反向恢 复时间。产生t rr 的主要原因是由于二极管在正向导通时,P 区的多数载流子空穴大 量流入N 区,N 区的多数载流子电子大量流入P 区,在P 区和N 区中分别存储了 大量的电子和空穴,统称为存储电荷。当U I 由U F跃变为负值U R 时,上述存储 电荷不会立刻消失,在反向电压的作用下形成了较大的反向电流I R ,随着存储电荷 的不断消散,反向电流也随之减少,最终二极管V D 转为截止。当二极管V D 由截 止转为导通时,在P 区和N 区中积累电荷所需的时间远比t rr 小得多,故可以忽略。 2. 2. 2 三极管的开关特性 一、静态开关特性及开关等效电路

Lab 2 二与非门电路原理图设计

Lab 2 二与非门电路原理图设计 1.实验目的 1.1了解Schematic设计环境 1.2掌握二与非门电路原理图输入方法 1.3掌握逻辑符号创建方法 2.实验原理 2.1Schematic设计环境 启动Schematic Editor后,在命令解释窗口CIW中,打开任意库与单元中的Schematic视图,浏览Schematic Editing窗口如图2.1所示,顶部为菜单栏(Menu),左侧为图标栏(Icon Bar),具体介绍如下: 图2.1 Schematic Editing窗口 菜单栏 菜单栏中可选菜单有Tool、Design、Window、Edit、Add、Check、Sheet、Options等项。其中常用菜单有: Tool菜单提供设计工具以及辅助命令。比如,lab4、lab5所使用的仿真工具ADE,就在Tool下拉菜单中。 Window菜单中的各选项有调整窗口的辅助功能。比如,Zoom选项对窗口放大(Zoom in)与缩小(Zoom out),fit选项将窗口调整为居中,redraw选项为刷新。 Edit菜单实现具体的编辑功能,主要有取消操作(Undo)、重复操作(Redo)、拉伸(Stretch)、拷贝(copy)、移动(Move)、删除(Delete)、旋转(Rotate)、属性(Properties)、选择(Select)、查找(Search)等子菜单,在以下实验中将大量应用。 Add菜单用于添加编辑所需要的各种素材,比如元件(Instance)或输入输出端点(pin)等。 图标栏 图标栏内的所有命令都可以在菜单栏实现,图标栏提供使用频率较高的一些

与非门版图设计

目录 1绪论 (2) 1.1 设计背景 (2) 1.2设计目标 (2) 2与门电路设计 (3) 2.1电路原理 (3) 2.2电路结构 (3) 2.3与门电路仿真波形 (4) 2.4与门电路的版图绘制及DRC验证 (5) 2.5与门电路版图仿真 (6) 2.6 LVS检查匹配 (6) 总结 (8) 参考文献 (9) 附录一版图网表: (10) 附录二电路图网表 (12)

1绪论 1.1 设计背景 Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows 平台的用于集成电路设计的工具软件。该软件功能十分强大,易学易用,包括S-Edit,T-Spice,W-Edit,L-Edit与LVS,从电路设计、分析模拟到电路布局一应俱全。其中的L-Edit版图编辑器在国内应用广泛,具有很高知名度。 L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案。L-Edit Pro丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。 1.2设计目标 1.用MOS场效应管实现二输入与门电路。 2.用tanner软件中的原理图编辑器S-Edit编辑反相器电路原理图。 3.用tanner软件中的W-Edit对反相器电路进行仿真,并观察波形。 4.用tanner软件中的L-Edit绘制反相器版图,并进行DRC验证。 5.用W-Edit对反相器的版图电路进行仿真并观察波形。 6.用tanner软件中的layout-Edit对反相器进行LVS检验观察原理图与版图的 匹配程度。

4012 CMOS 双4输入与非门

TL F 5940CD4002M CD4002C Dual 4-Input NOR Gate CD4012M CD4012C Dual 4-Input NAND Gate March 1988 CD4002M CD4002C Dual 4-Input NOR Gate CD4012M CD4012C Dual 4-Input NAND Gate General Description These NOR and NAND gates are monolithic complementa-ry MOS (CMOS)integrated circuits The N-and P-channel enhancement mode transistors provide a symmetrical cir-cuit with output swings essentially equal to the supply volt-age This results in high noise immunity over a wide supply voltage range No DC power other than that caused by leak-age current is consumed during static conditions All inputs are protected against static discharge and latching condi-tions Features Y Wide supply voltage range 3 0V to 15V Y Low power 10nW (typ )Y High noise immunity 0 45V DD (typ ) Applications Y Automotive Y Alarm system Y Data terminals Y Industrial controls Y Instrumentation Y Remote metering Y Medical Electronics Y Computers Connection Diagrams CD4002 Dual-In-Line Package TL F 5940–1Top View CD4012 Dual-In-Line Package TL F 5940–2 Top View Order Number CD4002or CD4012 C 1995National Semiconductor Corporation RRD-B30M105 Printed in U S A

数字电子技术基础第三版第二章答案

第二章逻辑门电路 第一节重点与难点 一、重点: 1.TTL与非门外特性 (1)电压传输特性及输入噪声容限:由电压传输特性曲线可以得出与非门的输出信号随输入信号的变化情况,同时还可以得出反映与非门抗干扰能力的参数U on、U off、U NH和U NL。开门电平U ON是保证输出电平为最高低电平时输入高电平的最小值。关门电平U OFF是保证输出电平为最小高电平时,所允许的输入低电平的最大值。 (2)输入特性:描述与非门对信号源的负载效应。根据输入端电平的高低,与非门呈现出不同的负载效应,当输入端为低电平U IL时,与非门对信号源是灌电流负载,输入低电平电流I IL通常为1~。当输入端为高电平U IH时,与非门对信号源呈现拉电流负载,输入高电平电流I IH通常小于50μA。 (3)输入负载特性:实际应用中,往往遇到在与非门输入端与地或信号源之间接入电阻的情况,电阻的取值不同,将影响相应输入端的电平取值。当R≤关门电阻R OFF时,相应的输入端相当于输入低电平;当R≥?开门电阻R ON时,相应的输入端相当于输入高电平。 2.其它类型的TTL门电路 (1)集电极开路与非门(OC门) 多个TTL与非门输出端不能直接并联使用,实现线与功能。而集电极开路与非门(OC 门)输出端可以直接相连,实现线与的功能,它与普通的TTL与非门的差别在于用外接电阻代替复合管。 (2)三态门TSL 三态门即保持推拉式输出级的优点,又能实现线与功能。它的输出除了具有一般与非门的两种状态外,还具有高输出阻抗的第三个状态,称为高阻态,又称禁止态。处于何种状态由使能端控制。 3.CMOS逻辑门电路 CMOS反相器和CMOS传输门是CMOS逻辑门电路的最基本单元电路,由此可以构成各种CMOS逻辑电路。当CMOS反相器处于稳态时,无论输出高电平还是低电平,两管中总有一管导通,一管截止,电源仅向反相器提供nA级电流,功耗非常小。CMOS器件门限电平U TH近似等于1/2U DD,可获得最大限度的输入端噪声容限U NH和U NL=1/2U DD。 二、难点: 1.根据TTL与非门特性,正确分析和设计电路; 2.ECL门电路的逻辑功能分析; 3.CMOS电路的分析与设计; 4.正确使用逻辑门。 三、考核题型与考核重点 1.概念 题型为填空、判断和选择。

(Multisim数电仿真)与非门逻辑功能测试及组成其它门电路

实验3.2 与非门逻辑功能测试及组成其它门电路 一、实验目的: 1.熟悉THD-1型(或Dais-2B型)数电实验箱的使用方法。 2. 了解基本门电路逻辑功能测试方法。 3.学会用与非门组成其它逻辑门的方法。 二、实验准备: 1. 集成逻辑门有许多种,如:与门、或门、非门、与非门、或非门、与或非门、异或门、OC门、TS门等等。但其中与非门用途最广,用与非门可以组成其它许多逻辑门。 要实现其它逻辑门的功能,只要将该门的逻辑函数表达式化成与非-与非表达式,然后用多个与非门连接起来就可以达到目的。例如,要实现或门Y=A+B, A ,可用三个与非门连根据摩根定律,或门的逻辑函数表达式可以写成:Y=B 接实现。 集成逻辑门还可以组成许多应用电路,比如利用与非门组成时钟脉冲源电路就是其中一例,它电路简单、频率范围宽、频率稳定。 2. 集成电路与非门简介: 74LS00是“TTL系列”中的与非门,CD4011是“CMOS系列”中的与非门。它们都是四-2输入与非门电路,即在一块集成电路内含有四个独立的与非门。每个与非门有2个输入端。74LS00芯片逻辑框图、符号及引脚排列如图

与非门的逻辑功能是:当输入端中有一个或一个以上是低电平时,输出端为高电平;只有当输入端全部为高电平时,输出才是低电平(即有“0”得“1”,全 “1”得“0”)。其逻辑函数表达式为:B =。 Y? A TTL电路对电源电压要求比较严,电源电压Vcc只允许在+5V±10%的范围内工作,超过5.5V将损坏器件;低于4.5V器件的逻辑功能将不正常。 CMOS集成电路是将N沟道MOS晶体管和P沟道MOS晶体管同时用于一个集成电路中,成为组合两种沟道MOS管性能的更优良的集成电路。CMOS电路的主要优点是: (1). 功耗低,其静态工作电流在10-9A数量级,是目前所有数字集成电路中最低的,而TTL器件的功耗则大得多。 (2).高输入阻抗,通常大于1010Ω,远高于TTL器件的输入阻抗。 (3). 接近理想的传输特性,输出高电平可达电源电压的99.9%以上,低电平可达电源电压的0.1%以下,因此输出逻辑电平的摆幅很大,噪声容限很高。 (4).电源电压范围广,可在+5V~+18V范围内正常运行。 3.集成电路芯片简介: 数字电路实验中所用到的集成电路芯片都是双列直插式的,其引脚排列规则如图3.2.3所示。识别方法是:正对集成电路型号(如74LS00)或看标记(左边的缺口或小圆点标记),从左下角开始按逆时针方向数1、2、3...依次数到最后一脚(在左上角)。在标准型TTL集成电路中,电源端Vcc一般排在左上角,接地端GND 一般排在右下角。如74LS00为14脚芯片,14脚为Vcc,7脚为GND。若芯片 集成电路使用注意事项:

实验二--组合逻辑电路的设计与测试

实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的分析与设计方法。 2、加深对基本门电路使用的理解。 二、实验原理 1、组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他 功能的门电路。例如,根据与门的逻辑表达式Z= AB =得知,可以用两 个非门和一个或非门组合成一个与门,还可以组合成更复杂的逻辑关系。 2、分析组合逻辑电路的一般步骤是: 1)由逻辑图写出各输出端的逻辑表达式; 2)化简和变换各逻辑表达式; 3)列出真值表; 4) 根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其功能。 3、设计组合逻辑电路的一般步骤与上面相反,是: 1)根据任务的要求,列出真值表; 2)用卡诺图或代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件构成电路; 4)最后,用实验来验证设计的正确性。 4、组合逻辑电路的设计举例 1)用“与非门”设计一个表决电路。当四个输入端中有三个或四个“1”时, 输出端才为“1”。 设计步骤: 根据题意,列出真值表如表2-1所示,再添入卡诺图表2-2中。 表2-1 表决电路的真值表 表2-2 表决电路的卡诺图 然后,由卡诺图得出逻辑表达式,并演化成“与非”的形式: ABD CDA BCD ABC Z+ + + = B A+

? = ? ABC? ACD BCD ABC 最后,画出用“与非门”构成的逻辑电路如图2-1所示: 图2-1 表决电路原理图 输入端接至逻辑开关(拨位开关)输出插口,输出端接逻辑电平显示端口,自拟真值表,逐次改变输入变量,验证逻辑功能。 三、实验设备与器材 1.数字逻辑电路实验箱。 2.数字逻辑电路实验箱扩展板。 3.数字万用表。 4.芯片74LS00、74LS02、74LS04、74LS10、74LS20。 四、实验内容实验步骤 1、完成组合逻辑电路的设计中的两个例子。 2、设计一个四人无弃权表决电路(多数赞成则提议通过),要求用四2输入与非门 来实现。 3、用与非门74LS00和异或门74LS86设计一可逆的4位码变换器。 要求: 1)当控制信号C=1时,它将8421码转换成为格雷码;当控制信号C=0时,它 将格雷码转换成为8421码; 2)写出设计步骤,列出码变换关系真值表并画出逻辑电路图; 3)安装电路并测试逻辑电路的功能。 五、实验预习要求 1、复习各种基本门电路的使用方法。 2、实验前,画好实验用的电路图和表格。 3、自己参考有关资料画出实验内容2、3、4中的原理图,找出实验将要使用的芯 片,以备实验时用。 六、实验报告要求 1、将实验结果填入自制的表格中,验证设计是否正确。 2、总结组合逻辑电路的分析与设计方法。

二输入与非门、或非门版图设计

课程名称Course 集成电路设计技术 项目名称 Item 二输入与非门、或非门版图设 计 与非门电路的版图: .spc文件(瞬时分析): * Circuit Extracted by Tanner Research's L-Edit / Extract ; * TDB File: E:\cmos\yufeimen, Cell: Cell0 * Extract Definition File: C:\Program Files\Tanner EDA\L-Edit\spr\ * Extract Date and Time: 05/25/2011 - 10:03 .include H:\ VPower VDD GND 5 va A GND PULSE (0 5 0 5n 5n 100n 200n) vb B GND PULSE (0 5 0 5n 5n 50n 100n) .tran 1n 400n .print tran v(A) v(B) v(F) * WARNING: Layers with Unassigned AREA Capacitance. * * *

*

* *

* WARNING: Layers with Unassigned FRINGE Capacitance. * * * * *

* *

* * WARNING: Layers with Zero Resistance. * * * * * NODE NAME ALIASES * 1 = VDD (34,37) * 2 = A , * 3 = B , * 4 = F , * 6 = GND (25,-22) M1 VDD B F VDD PMOS L=2u W=9u AD=99p PD=58u AS=54p PS=30u * M1 DRAIN GATE SOURCE BULK M2 F A VDD VDD PMOS L=2u W=9u AD=54p PD=30u AS=99p PS=58u * M2 DRAIN GATE SOURCE BULK M3 F B 5 GND NMOS L=2u W= AD= PD=30u AS=57p PS=31u * M3 DRAIN GATE SOURCE BULK -18 M4 5 A GND GND NMOS L=2u W= AD=57p PD=31u AS= PS=30u * M4 DRAIN GATE SOURCE BULK -18 * Total Nodes: 6 * Total Elements: 4 * Extract Elapsed Time: 0 seconds .END 与非门电路仿真波形图(瞬时分析):

CMOS双输入与非门直流特性分析

实验四:与非门的直流分析 一、实验目的 1.掌握T-SPICE 的操作(包括加入工作电源、编辑对象、分析设定、输出设定、进行 模拟、观看结果等); 2.掌握直流分析的方法及流程,并会分析结果。 二、预习要求 1.了解对一个模块直流分析的基本要求(对电源、输入/输出如何定义); 2.了解整个直流分析流程。 三、实验内容 1.对与非门进行直流分析; 2.改变参数观看仿真结果。 四、实验报告要求 实验报告包括以下内容: 1.电路原理图及模拟结果; 2.调试过程; 3.遇到的问题及处理方法; 4.实验的体会。 五、操作步骤: 实验步骤: 1.新建文件夹:在电脑E 盘新建文件夹,文件夹名为ex4。 2.打开S-Edit 软件:执行D:\Tanner\tanner\S-Edit 目录下的sedit.exe 文件,即可打开S-Edit 程序。 3.另存新文件:选择File——Save As 命令,打开“另存为”对话框,在“保存在”下拉列表中选择保存的路径,在“文件名”文本框中输入新文件的名称,如ex4,如图下图所示。

4.复制NAND 模块:要复制ex2 的NAND 模块到ex4 文件中,必须先打开实验二编辑的 文件“ex2.sdb”,选择File——Open 打开ex2.sdb 文件。进行复制之前必须回到ex4 文件环 境,方法为选择Module——Open 命令,打开Open Module 对话框,在Files 下拉列表中选择ex4 选项,单击OK 按钮,回到ex4 环境,才能进行复制模块的操作。选择Module——Copy 命令,打开Copy Module 对话框,如下图所示,在Files 下拉列表中选择ex2 选项,在 Select Module To Copy 列表框中选择NAND 选项,单击OK 按钮,即完成将NAND 模块从 ex2 文件中复制到ex4 文件的操作。 5.打开NAND 模块:由于上一步骤复制模块的操作只是在ex4 文件中增加了NAND 模块(还有NAND 引用到的模块Vdd, Gnd,MOSFET_N 与MOSFET_P ),而ex4 依旧在Module0 模块的编辑环境下,所以要编辑NAND 模块必须先选择Module——open 命令,打开Open Module 对话框,如下图所示,在Files 下拉列表中选择ex4 选项,在Select Module To Open 列表框中选择NAND 选项,单击OK 按钮。

实验二 TTL与非门电路参数测试

实验二 TTL 与非门电路参数测试 一、实验目的 ·掌握TTL 与非门主要参数的测试方法。 ·掌握TTL 与非门电压传输特性的测试方法。 ·熟悉集成元器件管脚排列特点。 二、实验原理 TTL 集成与非门是数字电路中广泛使用的一种基本逻辑门,使用时必须对它的逻辑功能、主要参数和特性曲线进行测试,以确定其性能好坏。 本实验采用TTL 集成元器件74LS00与非门进行测试。它是一个2输人端4与非门,形状为双列直插式,逻辑表达式为F =A ·B ,其逻辑符号及外引线排列图如图 1—1(a)(b)(c)(d)所示。

1.TTL与非门主要参数 (1)输出高电平V OH和输出低电平V OL V OH是指与非门一个以上的输入端接低电平或接地时,输出电压的大小。此时门电路处于截止状态。如输出空载,V OH必须大于标准高电平(V SH=2.4V),一般在3.6V左右。当输出端接有拉电流负载时,V OH将降低。 V OL是指与非门的所有输人端均接高电平时,输出电压的大小。此时门电路处于导通状态。如输出空载,V OL必须低于标准低电平(V SL=0.4V),约为0.1V左右。接有灌电流负载时,V OL将上升。 (2)低电平输入电流I IL I IL是指当一个输入端接地,而其他输入端悬空时,输入端流向接地端的电流,又称为输入短路电流。I IL的大小关系到前一级门电路能带动负载的个数。 (3)高电平输入电流I IH I IH是指当一个输入端接高电平,而其他输入端接地时,流过接高电平输入端的电流,又称为交叉漏电流。它主要作为前级门输出为高电平时的拉电流。当I IH太大时,就会因为“拉出”电流太大,而使前级门输出高电平降低。 (4)输入开门电平V ON和关门电平V OFF V ON是指与非门输出端接额定负载时,使输出处于低电平状态时所允许的最小输入电压。换句话说,为了使与非门处于导通状态,输入电平必须大于V ON。 V OFF是指使与非门输出处于高电平状态所允许的最大输人电压。 (5)扇出系数N0 N0是说明输出端负载能力的一项参数,它表示驱动同类型门电路的数目。N0的大小主要受输出低电平时,输出端允许灌人的最大电流的限制,如灌人负载电流超出该数值,输出低电平将显著抬高,造成下一级逻辑电路的错误动作。

实验一 二输入端与非门的设计EPM7128-bdf_百度文库.

实验一二输入端与非门的设计 利用EDA-V+板,使用Quartus II 9.0软件,完成实验内容。 二输入端与非门有2个输入端,1个输出端。在 EPM7128SLC84-15芯片内实现功能,选择合适的引脚。1. 实验目的 掌握Quartus II 9.0软件的使用; 学会实验箱EDA-V+资源的使用。 2. 实验原理 2二输入端与非门有2个输入端:A、B;1个输出端Y。其真值表如表1所示。 表1 4选1二输入端与非门真值表 地址输入输出 A B Y 0 0 1 0 11 10 1 110 3. 实验步骤

(1 建立工程项目: 确定具有合法的密码文件后,安装进入如下提示: 图1 点击NEXT进入建立工程对话窗口: 图2

或者,从主菜单选取File→New Project Wizard,同样出现图 2。来创建工程。 图3 从主下拉菜单主选择路径新建工程项目 出现对话框如图4所示。 图4 新建工程

更改工程保存的路径为D:\EDA_test\2nand,工程名称为2nand,文件名称为2nand,点击Next,提示是否创建“D:\EDA_test\2nand”? 选择“是”,出现: 在上图中的File name对话框内输入2nand.bdf,

然后,点击右侧的Add。 图3 添加文件到工程中 接着点击Next,在下图中选择所使用的器件。在 Family“系列”下拉窗口中选择MAX7000S,在Available devices 下选择EPM7128SLC84-15具体器件。

图4 选择器件 在图4中,点击Next进入图5。 图5 选择第三方工具 在图5中取默认值,直接点击Next进入图6。

相关文档
相关文档 最新文档