文档库 最新最全的文档下载
当前位置:文档库 › fpga课程设计总结报告模版

fpga课程设计总结报告模版

fpga课程设计总结报告模版
fpga课程设计总结报告模版

成都理工大学工程技术学院

FPGA数字系统课程设计

总结报告

项目名称:基于指纹识别的考勤系统的设计

专业:2013级电子科学与技术

班级:电子科学与技术1班

成员:夏雨

吴杰帅

指导教师:何勇

评分:

年月日

1 项目计划 .............................................................................................................................................. .. (1)

1.1 方案可行性分析 (1)

1.2 项目执行计划 (2)

2 设计说明 (3)

2.1各单元模块设计原理4

2.2各单元模块设计流程5

2.3部分源程序及注解6

3 调试说明

3.1 调试方法及步骤.8

3.2 调试数据.9

4 总结 (10)

5 参考文献 (11)

6 附录 (12)

1

1.1 方案可行性分析

1.1.1 市场分析

自动温度控制器是一款适应现代生活发展的科技产品。面对现今中央空调的

使用和普及,自动温度控制器能够有效可行的节约能源和提高生活质量,适用于各种酒店、学校、商场等大型公共场所。其环保卫生和节约能源消耗费用的作用对各大场所都有很强吸引力。

表1-1 测试数据

(单位:V )

fpga数字钟课程设计报告

f p g a数字钟课程设计报告 Prepared on 24 November 2020

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 姓名:王一丁 指导教师:李世平 设计时间:2016年1月 摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟 目录 摘要 1 课程设计目的 2 课程设计内容及要求

设计任务 设计要求 3 VHDL程序设计 方案论证 系统结构框图 设计思路与方法 状态控制模块 时分秒模块 年月日模块 显示模块 扬声器与闹钟模块 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献 1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。

万能心得体会(心得体会,万能)

万能心得体会(心得体会,万能) 1、对销售工作要充满极大热情 这应该是一名迈向成功的销售人员最重要的特质。即使本人因为其它的事件或情况,导致情绪不佳,但每次与顾客进行接触时,都应表现出笑容、热情与以及易于沟通。乐意使前来咨询的人从你传授的知识和建议中获益, 一个绝招,七个字:胆大、心细、脸皮厚。 a、胆大:这就要求我们对自已有信心,对认准的目标有大无畏的气概,,怀着必胜的决心,主动积极地争取。天上不可能掉下馅饼,你不主动走出去寻找客户,你不主动去和客户沟通,那你永远不可能有业绩。为什么美国的总统无论见到谁都能面带微笑?因为他们有这种君临天下的心态。我们要取得成功,就必须象一个伟人一样,主动去微笑着与人握手。 b、心细:这就要求我们善于察言观色,投其所好。最让女人动心的是什么?就是你知道她,你了解她,你从细微之处关心她:风起的时候,为她披上外衣;生日的时候,你献上玫瑰;不开心的时候,你认真地倾听。没有哪一个女人

能不被这种温柔的攻势打动。我们面对客户同样如此。客户最关心的是什么?客户最担心的是什么?客户最满意的是什么?客户最忌讳的是什么?只有你在他的言谈举止中捕捉到这些,你的谈话才能有的放矢,你的服务才能事半功倍。否则肯定是瞎折腾. 那么你要对公司、对产品、对科技背景、对专业知识更是要熟知。在会谈中要注视对方的眼睛。注视对方的眼睛,一则显示你的自信,二则眼睛是心灵的窗户,你可以透过他的眼神发现他没用语言表达出来的内涵。一个人的眼睛是不无法骗人的。 学会倾听。除了正确简洁地表达自已的观点外,更重要的是要学会多听。听,不是敷衍,而是发自内心的意会,交流那种不可言传的默契 c、脸皮厚:脸皮厚实际上是优秀的心理素质的代名词,要求我们正确认识挫折和失败,有不折不挠的勇气。我们在做业务工作当中,会有很多次失败。但你一定要有耐心,你要相信所有的失败都是为你以后的成功做准备。这个世界有一千条路,但却只有一条能到达终点。道理很简单:成功者比失败者多努力,多坚持。 2、永远保持谦虚谨慎和好学的态度

课程设计报告模板)

课程设计报告模板()

————————————————————————————————作者: ————————————————————————————————日期: ?

课程设计(论文)任务书 软件学院软件+电商专业09级(2)班 一、课程设计(论文)题目基本模型机设计与实现 二、课程设计(论文)工作自2011年6月 20 日起至2011年 6月 24日止。 三、课程设计(论文) 地点:计算机组成原理实验室(5#301) 四、课程设计(论文)内容要求: 1.课程设计的目的 通过课程设计的综合训练,在掌握部件单元电路实验的基础上,进一步掌握整机 概念。培养学生实际分析问题、解决问题和动手能力,最终目标是想通过课程设计的形式,帮助学生系统掌握该门课程的主要内容,更好地完成教学任务。 2.课程设计的任务及要求 1)基本要求? (1)课程设计前必须根据课程设计题目认真查阅资料; (2)实验前准备好实验程序及调试时所需的输入数据; (3)实验独立认真完成; (4)对实验结果认真记录,并进行总结和讨论。 2)课程设计论文编写要求 (1)按照书稿的规格撰写打印课设论文 (2)论文包括目录、绪论、正文、小结、参考文献、附录等 (3)正文中要有问题描述、实验原理、设计思路、实验步骤、调试过程与遇到问题的解决方法、总结和讨论等 (4)课设论文装订按学校的统一要求完成 3)课设考核 从以下几方面来考查:

(1)出勤情况和课设态度; (2)设计思路; (3)代码实现; (4)动手调试能力; (5)论文的层次性、条理性、格式的规范性。 4)参考文献 [1]王爱英.计算机组成与结构[M]. 北京:清华大学出版社, 2007. [2] 王爱英. 计算机组成与结构习题详解与实验指导[M]. 北京:清华大学出版社, 2007. 5)课程设计进度安排 内容天数地点 构思及收集资料1图书馆 实验与调试 3 实验室 撰写论文 1 图书馆 6)任务及具体要求 设计实现一个简单的模型机,该模型机包含若干条简单的计算机指令,其中至少包括输入、输出指令,存储器读写指令,寄存器访问指令,运算指令,程序控制指令。学生须根据要求自行设计出这些机器指令对应的微指令代码,并将其存放于控制存储器,并利用机器指令设计一段简单机器指令程序。将实验设备通过串口连接计算机,通过联机软件将机器指令程序和编写的微指令程序存入主存中,并运行此段程序,通过联机软件显示和观察该段程序的运行,验证编写的指令和微指令的执行情况是否符 合设计要求,并对程序运行结果的正、误分析其原因。 学生签名: 亲笔签名 2011年6月20 日 课程设计(论文)评审意见 (1)设计思路:优( )、良()、中( )、一般()、差( ); (2)代码实现:优()、良()、中()、一般()、差();

FPGA设计的报告课程设计

FPGA课程设计 实 验 报 告

实验一:设计一个可控的100进制可逆计数器 一、实验要求 用DE2-115开发板下载。 (1)计数器的时钟输入信号周期为200ns。 (2)以十进制形式显示。 (3)有一个复位端clr和两个控制端plus和minus,在这些控制信号的作用 clr plus minus 功能 0 ××复位为0 1 1 0 递增计数 1 0 1 递减计数 1 1 1 暂停计数 二、关键词 可控制、可逆、100进制、复位、暂停、递增、递减 三、内容摘要 module updown_count(qout,reset,clk,plus,minus); output[7:0] qout;/*定义一个8位的输出,其目的是 低四位和高四位分别表示计数器的个位和十位。*/ input clk,plus,minus,reset;//定义四个输入,时钟,加计数,减计数和清零 reg[7:0] qout;//qout的数据类型为寄存器型 always @(posedge clk)//当clk上升沿到来时执行一遍下列程序 begin if(!reset) qout<=0;//当reset为低电平时,计数器执行清零功能,否则跳过else begin case({minus,plus})//case语句模块,包含加,减和暂停四个模块 2'b10: if (qout[3:0]==0)//判断个位是否为零,若不为零,跳到个位减一begin qout[3:0]<=9;//给个位赋值 if(qout[7:4]==0) qout[7:4]<=9;//判断十位是否为零,并且给十位赋值 else qout[7:4]<=qout[7:4]-1;//由于个位赋9,相当于向十位借一,因而十位减一end else qout[3:0]<=qout[3:0]-1;//个位减一 /*这一部分是减计数模块,其思路是:首先判断个位是否为零,若为零,则执行后面的程序,个位直接赋9,并且十位减一;否则个位减一*/ 2'b01: if (qout[3:0]==9)//判断个位是否为9,否则跳到个位加一begin

万能课程设计心得体会2个

1 两周的课程设计结束了,在这次的课程设计中不仅检验了我所学习的知识,也培养了我如何去把握一件事情,如何去做一件事情,又如何完成一件事情。在设计过程中,与同学分工设计,和同学们相互探讨,相互学习,相互监督。学会了合作,学会了运筹帷幄,学会了宽容,学会了理解,也学会了做人与处世。 课程设计是我们专业课程知识综合应用的实践训练,着是我们迈向社会,从事职业工作前一个必不少的过程.”千里之行始于足下”,通过这次课程设计,我深深体会到这句千古名言的真正含义.我今天认真的进行课程设计,学会脚踏实地迈开这一步,就是为明天能稳健地在社会大潮中奔跑打下坚实的基础. 通过这次模具设计,本人在多方面都有所提高。通过这次模具设计,综合运用本专业所学课程的理论和生产实际知识进行一次冷冲压模具设计工作的实际训练从而培养和提高学生独立工作能力,巩固与扩充了冷冲压模具设计等课程所学的内容,掌握冷冲压模具设计的方法和步骤,掌握冷冲压模具设计的基本的模具技能懂得了怎样分析零件的工艺性,怎样确定工艺方案,了解了模具的基本结构,提高了计算能力,绘图能力,熟悉了规范和标准,同时各科相关的课程都有了全面的复习,独立思考的能力也有了提高。 在这次设计过程中,体现出自己单独设计模具的能力以及综合运用知识的能力,体会了学以致用、突出自己劳动成果的喜悦心情,从中发现自己平时学习的不足和薄弱环节,从而加以弥补。 在此感谢我们的xxx老师.,老师严谨细致、一丝不苟的作风一直是我工作、学习中的榜样;老师循循善诱的教导和不拘一格的思路给予我无尽的启迪;这次模具设计的每个实验细节和每个数据,都离不开老师您的细心指导。而您开朗的个性和宽容的态度,帮助我能够很顺利的完成了这次课程设计。 同时感谢对我帮助过的同学们,谢谢你们对我的帮助和支持,让我感受到同学的友谊。 由于本人的设计能力有限,在设计过程中难免出现错误,恳请老师们多多指教,我十分乐意接受你们的批评与指正,本人将万分感谢。 2 通过此次课程设计,使我更加扎实的掌握了有关xxx方面的知识,在设计过程中虽然遇到了一些问题,但经过一次又一次的思考,一遍又一遍的检查终于找出了原因所在,也暴露出了前期我在这方面的知识欠缺和经验不足。实践出真知,通过亲自动手制作,使我们掌握的知识不再是纸上谈兵。 过而能改,善莫大焉。在课程设计过程中,我们不断发现错误,不断改正,不断领悟,不断获取。最终的检测调试环节,本身就是在践行“过而能改,善莫大焉”的知行观。这次课程设计终于顺利完成了,在设计中遇到了很多问题,最后在老师的指导下,终于游逆而解。在今后社会的发展和学习实践过程中,一定要不懈努力,不能遇到问题就想到要退缩,一定要不厌其烦的发现问题所在,然后一一进行解决,

课程设计报告【模板】

模拟电子技术课程设计报告设计题目:直流稳压电源设计 专业电子信息科学与技术 班级电信092 学号 200916022230 学生姓名夏惜 指导教师王瑞 设计时间2010-2011学年上学期 教师评分 2010年月日

昆明理工大学津桥学院模拟电子技术课程设计 目录 1.概述 (2) 1.1直流稳压电源设计目的 (2) 1.2课程设计的组成部分 (2) 2.直流稳压电源设计的内容 (4) 2.1变压电路设计 (4) 2.2整流电路设计 (4) 2.3滤波电路设计 (8) 2.4稳压电路设计 (9) 2.5总电路设计 (10) 3.总结 (12) 3.1所遇到的问题,你是怎样解决这些问题的12 3.3体会收获及建议 (12) 3.4参考资料(书、论文、网络资料) (13) 4.教师评语 (13) 5.成绩 (13)

昆明理工大学津桥学院模拟电子技术课程设计 1.概述 电源是各种电子、电器设备工作的动力,是自动化不可或缺的组成部分,直流稳压电源是应用极为广泛的一种电源。直流稳压电源是常用的电子设备,它能保证在电网电压波动或负载发生变化时,输出稳定的电压。一个低纹波、高精度的稳压源在仪器仪表、工业控制及测量领域中有着重要的实际应用价值。 直流稳压电源通常由变压器、整流电路、滤波电路、稳压控制电路所组成,具有体积小,重量轻,性能稳定可等优点,电压从零起连续可调,可串联或关联使用,直流输出纹波小,稳定度高,稳压稳流自动转换、限流式过短路保护和自动恢复功能,是大专院校、工业企业、科研单位及电子维修人员理想的直流稳压电源。适用于电子仪器设备、电器维修、实验室、电解电镀、测试、测量设备、工厂电器设备配套使用。几乎所有的电子设备都需要有稳压的电压供给,才能使其处于良好的工作状态。家用电器中的电视机、音响、电脑尤其是这样。电网电压时高时低,电子设备本身耗供电造成不稳定因家。解决这个不稳定因素的办法是在电子设备的前端进行稳压。 直流稳压电源广泛应用于国防、科研、大专院校、实验室、工矿企业、电解、电镀、充电设备等的直流供电。 1.1直流稳压电源设计目的 (1)、学习直流稳压电源的设计方法; (2)、研究直流稳压电源的设计方案; (3)、掌握直流稳压电源的稳压系数和内阻测试方法。 1.2课程设计的组成部分 1.2.1 设计原理

课程设计心得总结模板

****课程设计心得体会 两周的课程设计结束了,通过此次课程设计,使我更加扎实的掌握了有关****设计方面的知识,在设计过程中虽然遇到了一些问题,但经过一次又一次的思考,一遍又一遍的检查终于找出了原因所在,也暴露出了前期我在这方面的知识欠缺和经验不足。实践出真知,通过亲自动手制作,使我们掌握的知识不再是纸上谈兵。 过而能改,善莫大焉。在课程设计过程中,我们不断发现错误,不断改正,不断领悟,不断获取。最终的检验修改环节,本身就是在践行“过而能改,善莫大焉”的知行观。这次课程设计终于顺利完成了,在设计中遇到了很多问题,最后在不懈的努力下,终于迎刃而解。在今后社会的发展和学习实践过程中,一定要不懈努力,不能遇到问题就想到要退缩,一定要不厌其烦的发现问题所在,然后一一进行解决,只有这样,才能成功的做成想做的事,才能在今后的道路上劈荆斩棘,而不是知难而退,那样永远不可能收获成功,收获喜悦,也永远不可能得到社会及他人对你的认可!课程设计不仅是一门专业课,给我很多专业知识以及专业技能上的提升,同时又是一门讲道课,一门辩思课,给了我许多道,给了我很多思路,给了我莫大的空间。同时,设计让我感触很深。使我对抽象的理论有了具体的认识。通过这次课程设计,我掌握了*****设计的基础原理 我认为,在这两周的的课程设计中,不仅培养了独立思考、动手操作的能力,在各种其它能力上也都有了提高。更重要的是,在课程设计过程中,我们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。这对于我们的将来也有很大的帮助。以后,不管有多苦,我想我们都能变苦为乐,找寻有趣的事情,发现其中珍贵的事情。就像中国提倡的艰苦奋斗一样,我们都可以在****课程设计结束之后变的更加成熟,会面对需要面对的事情。回顾起此课程设计,至今我仍感慨颇多,从理论到实践,在这段日子里,可以说得是苦多于甜,但是可以学到很多很多的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,但可喜的是最终都得到了解决 此次设计也让我明白了思路即出路,有什么不懂不明白的地方要及时请教或上网查询,只要认真钻研,动脑思考,动手实践,就没有弄不懂的知识,收获颇丰。 总之,认真对待每一个学习的机会,珍惜过程中的每一分一秒,学到最多的知识和方法,锻炼自己的能力,这个是我们在在本次****课程设计中学到的最重要的东西,以后也将受益匪浅的!

FPGA课程设计报告

F P G A 课 程 设 计 报 告 学部:信息科学与技术学部 专业:通信工程 班级:10级1班 学号:100103011125 姓名:万洁 指导老师:祝宏 合作伙伴:张紫君 2012.12.13

一.《任务书》: 实验一100进制的可逆计数器(11——12周)实验二交通灯控制系统(15周) 实验三多功能数字钟系统(14-15周)二.实验书写格式: 一:题目要求 二:程序代码 三:操作步骤及运行结果截图 四:心得体会 三.实验附录: 一:老师提供的资源 二:关于实验所用EP4CE115F29板的简介

实验一100进制的可逆计数器 一、设计一个可控的100进制可逆计数器,要求用实验箱下载。 (1)计数器的时钟输入信号周期为200ns。 (2)以十进制形式显示。 (3)有一个复位端clr和两个控制端plus和minus,在这些控制信号的作用下,计数器具有复位、增或减计数、暂停功能。 clr plus minus 功能 0 ××复位为0 1 1 0 递增计数 1 0 1 递减计数 1 1 1 暂停计数 二、程序如下: module keni100(CLR,CLK,PLUS,MINUS,OUT); //100进制的可逆计数器 input CLR,PLUS,MINUS,CLK; output [7:0]OUT; reg [7:0]OUT; always@(posedge CLK) begin if(!CLR) //如果CLR为零,输出为零;反之,运行else程序 OUT[7:0]<=0; else

begin if(PLUS==0 && MINUS==1) //100进制的递减计数 begin if (OUT[3:0]==0) begin OUT[3:0]<=9; if (OUT[7:4]==0) OUT[7:4]<=9; else OUT[7:4]<=OUT[7:4]-1; end else OUT[3:0]<=OUT[3:0]-1; end if(PLUS==1 && MINUS==0) //100进制的递增计数 begin if (OUT[3:0]==9) begin OUT[3:0]<=0; if (OUT[7:4]==9) OUT[7:4]<=0; else OUT[7:4]<=OUT[7:4]+1; end else OUT[3:0]<=OUT[3:0]+1; end if(PLUS==1 && MINUS==1) OUT<=OUT; //若PLUS和MINUS都为1,暂停计数 if(PLUS==0 && MINUS==0) OUT<=0; //若都为零,输出为零end end endmodule 三、运行程序 1、在quarters II9.1输入程序 打开quarters II界面,点击file→New,在出现的对话框,如图1.1所示,选择Text File,点击OK.

课程设计报告参考模板

课程设计报告参考模板 河海大学计算机及信息工程学院 课程设计报告 题目专业、学号授课班号学生姓名指导教师完成时间 课程设计任务书 Ⅰ、课程设计题目: Ⅱ、课程设计工作内容 一、课程设计目标1、培养综合运用知识和独立开展实践创新的能力; 2、…… 二、研究方法及手段应用 1、将任务分成若干模块,查阅相关论文资料,分模块调试和完成任务; 2、…… 三、课程设计预期效果 1、完成实验环境搭建; 2、…… 学生姓名:专业年级: 目录空一行。空一个中文字符行。“目录”,分页,居中,加黑宋体二号。前言………………………………………………………………………………………………1 第一章系统设计………………………………………………………………

…………………2 第一节课题目标及总体方案…………………………………………………………………..2 ……………… 目录正文,宋体小四号,倍行距。第二节…………………………….. ………………… 第二章实验结果及讨论 (5) ……………… 第三章结论 (10) ……………… 心得体会 (42) 河海大学本科课程设计报告 1、移动台 MS 二级标题“1、”,左对齐,加黑宋体小三号。移动台是公用GSM移动通信网中用户使用的设备,……………… NMCDPPSPCSSEMC OSSOMCMBTSSBTSBSCHLR/ MSC/VLRAUC BSSEIR NSSPSTNISDNPDN 图 GSM系统

组成 2、基站子系统 BSS 图编号及图名“图”,位于图下,居中。基站子系统BSS是GSM系统实现无线通信的关键组成部分。它通过无线接口直接与移动台通信,进行无线发送、无线接收及无线资源管理。另一方面,它通过与网络子系统NSS的移动业务交换中心,………………。 ⑴、基站收发信台 BTS 三级标题“⑴、”,左对齐,加黑宋体四号。基站收发信台BTS属于基站子系统BSS的无线部分,………………。①收发信台组成四级标题“①”,左对齐,加黑宋体小四号。 BTS包含有若干个收发信息单元TRX,而一个TRX有八个时隙,………………。●收发信息单元 五级标题“●”,左对齐,加黑宋体小四号。收发信息单元是………………。●其它辅助单元 辅助单元包括………………。②收发信台作用 收发信台的主要作用有………………。 ⑵、基站控制器 BSC 基站控制器BSC是基站子系统BSS的控制部分,………………。 3、网络交换子系统 NSS - 4 - 河海大学本科课程设计报告

(完整版)教学设计万能模板

教学设计万能模板(各科均适用) 一、教学目标: 根据新课改的要求和学生已有的知识基础和认知能力,我确定的教学目标是: (1)知识与技能目标:通过自主学习____,学生能够____ (2)过程与方法目标:通过合作学习____,学生能够____ (3)情感、态度、价值观:通过探究学习____,学生能够____ 二、教学的重点和难点: 本课的教学重点:通过____学生能够掌握____ 本课的教学难点:通过____发展/提高学生____ 三、教学方法: 主要采取的教学方法:引导启发法。 在本节课的教学中主要渗透自主探究法、小组讨论法等。 四、教学过程: (一)导入新课 本课主要采用:故事导入/直接导入/游戏导入/情境导入等等 (具体怎么导入,需要简单阐述) 这种方法,不仅能引起学生的兴趣,而且能够引导学生思考,并且引出新课题。 (二)讲授新课 在讲授新课时,为了突出本节课的第一维知识与技能目标,首先引导学生自主学习,学生对基本的概念和知识初步感知,学习完成后,会对重要生词(语文,其他科目视具体情况而定)进行讲解,具体过程如下: (讲授第一维目标) 通过这种方法,既体现了新课改中以学生为主体的思想,又调动了学生学习的积极性。

这部分讲授完成后,开始讲解本节课的难点,也就是第二维过程与方法目标,引导学生进行探究学习,学生先进行探究学习,能够用自己的话语总结____方法。然后,结合实例,对____方法进行详细讲解,具体过程如下: (讲授第二维目标) 通过这种方法,既让学生能够深入理解这种方法,也可以增进学生之间相互帮助的情感。 (三)巩固练习 根据各科目自行设计 (四)小结 (五)作业布置 布置课后作业,包括必做题和选做题,必做题主要以基础算式为主,选做题会选用一些开放性较高,需要学生进行发散思考的问题,以满足那些学有余力的同学。 五、板书设计 板书设计采用图文并茂的形式,清晰展示全文整体结构,突出重点,彰显文章主题。 万用模板讲解 一、教学目标 1、知识与技能(专业能力) 2、过程与方法(方法能力) 3、感情态度与价值观(社会能力) A 必须具备的四个基本要素: 行为主体行为主体必须是学生而不是老师,人们判断教学有没有效益的直接依据是学生有没有获得具体的进步,而不是教师有没有完成任务。一般在写教学目标的时候行为主体可以省略,但格式必须注意如一般可以采取以下的表达:“通过……学习,能说出……”,“通过……学习,能分析归纳……”,而不是“使

基于FPGA的VGA显示设计报告

正文 一,VGA时序标准 VGA是一种常用的显示输出接口,采用行场扫描控制结合RGB三色合成原理,输出 显示信号。每个VGA接口为15针接口,分三行排布,每行5针。如图所示: 图1.1 VGA接口 15针并未全部使用,有效的信号线共5根,即红绿蓝三基色信号线:R,G,B,每线电压从0V到0.71V变化,表示无色到饱和,依据电平高低,显示颜色的饱和程度。行同步控制信号,Hsync,控制每行扫描像素的有效和失效。场同步:Vsync,控制场方向,即整个图像显示过程的时间长度,场同步中的显示部分的时间长度,等于每行扫描时间的总和。 在不同刷新频率下,显示每个像素的时间是不同的,相同刷新频率下,每个像素显示时间是固定的,所以,不同的每个像素写入时间,导致了分辨率的不同。因为VGA的显示是逐行扫描,每行从左到右扫描,到了行尾,回归到下一行的行头,继续向尾部扫描。所以,显示原理是逐次写入每行的像素数据,直到整幅图像显示成功为止。 VGA显示的数据是不能锁存的,所以必须一次又一次的连续输入数据,72Hz的刷新率下,一秒钟显示72幅图像,所以,需要连续写入72幅图像,才能达到一秒的显示效果。所以,VGA显示图像,要反反复复写入图像数据,才能得到持续的显示效果。 图1.2 VGA接口线序 VGA显示,无法做到类似于TFT液晶屏的定点写入,VGA是扫描式暂时显示,所以时序显得尤为重要,时序出现失误,图像会出现走形,无法达到准确效果。而显示的时序控制主要依靠两条数据通道:行同步和场同步,即Hsync和Vsync,其控制了扫描显示的起点和终点,同时控制扫描起点的时间,通过时间的控制,达到确定的显示效果。 具体的控制时序图如下:

课程设计报告模版

课程设计报告模版

《城市排水处理》 课程设计报告 系别:城市建设系 专业班级:给水排水0601班 学生姓名: 指导教师:段泽琪 (课程设计时间: 6月15日—— 6月19日) 华中科技大学武昌分校

目录 1.课程设计目的 (1) 2.课程设计题目描述和要求 (1) 3.课程设计报告内容 (3) 3.1污水处理工艺方案比较 (3) 3.2主要污水处理构筑物选型 (6) 3.3污水处理构筑物的主要设计参数 (7) 3.4污水处理辅助构筑物设计 (8) 3.5污水处理厂平面布置设计 (8) 3.6 污水处理厂高程布置设计 (9) 3.7 设计计算………………………………………………………………………

10 4.总结……………………………………………………………………………页码 参考文献…………………………………………………………………………页码 (要求:目录题头用三号黑体字居中书写,隔行书写目录内容。目录中各级题序及标题用小四号黑体)

1. 课程设计目的 (1) 经过污水处理厂课程设计,巩固学习成果,加深对《水污染控制》课程内容的学习与理解,使学生学习使用规范、手册与文献资料,进一步掌握设计原则、方法等步骤,达到巩固、消化课程的主要内容; (2) 锻炼独立工作能力,对污水处理厂的主体构筑物、辅助设施、计量设备及污水厂总体规划、管道系统做到一般的技术设计深度,培养和提高计算能力、设计和绘图水平; (3) 在教师指导下,基本能独立完成一个中、小型污水处理厂工艺设计,锻炼和提高学生分析及解决工程问题的能力。 2.课程设计题目描述和要求 2.1 设计题目描述 (1) 设计题目 某城市污水处理厂工艺初步设计。 (2) 设计内容 根据任务书所给定的资料,综合运用所学的基础、专业基础和专业知识,设计一个中小型污水处理厂。 ①确定污水处理方法和工艺流程; ②选择各种处理构筑物形式,并进行工艺设计计算(计算书中要附计算草图); ③估算各辅助构筑物的平面尺寸; ④进行污水厂平面布置和高程布置。

课程学习总结报告内容

课程学习总结报告内容 课程学习报告格式【1】 课程学习报告 课程名称:监控组态软件班级:学号:姓名: ************** ******* 一.学习目的 1.了解组态软件的使用环境及其基本功能 2.掌握组态软件的使用方法 3.掌握监控组态软件的组成及其分类 二.学习使用仪器及软件 1.微机 2.软件 三.学习内容 1.组态软件的概述 2.工程管理器 3.分析曲线 四.学习体会及总结 五.参考文献 课程总结万能模板【2】 1引言 2正文 随着世界经济和我国逐步向知识经济迈进,现代化管理形成高度的统一,高效的系统现代化管理过去传统的管理方式是以人为主体的人工操作,虽然管理人员投入了大量的时间、精力,然而个人的能力是有限的,所以在管理工作难免会出现局限性,或带有个人的主观性和片面性。而管理信息系统使用系统思想建立起来的,以计算机为信息处理手段,以现代化通信设备为基本传输工具,能力管理决策者提供信息管理服务的人机系统,这无疑是与运输企业管理现代化接轨的重大举措。在这次课程设计中,我们运用运输管理的相关计算机软件,以及协作的操作能力、动手能力,很好完成了运输企业车辆管理,车辆调度安排等事项。 课程设计诚然是一门专业课,给我们很多专业知识以及专业技能上的提升,是一门讲道课,是一门哲理课,更是一门交流思想和小组间相互传递、老师和学生的思维相互辩证的课程。在这一周里,在朱老师的传道授业解惑下,传授了作为老师本有的术业有专攻的专门技能知识和素养,给了我们很多单独独立的思考,给我们在专业理论和实际与实践相结合很好的联系,也启发了我们更多的哲学思辨的能力。 交通运输企业管理的课程设计,让我感触很深,是我对抽象理论有了具体的认识,通过这次课程设计,我们掌握了找出车辆调度在运输企业管理的重要性,通过最短路径和最优方案找出可行性,以及最大化的达到企业的最大盈利和运输企业的资源配置的最大化,为给运输企业提供了比较好的方案,也在一定的程度上提升了企业的竞争力,为企业面对激烈的市场竞争增强了一定的优势,为扩大市场的份额与占有率埋下了极为重要的伏笔与提供借鉴。 在该学期的课程设计中,不仅培养了我们独立思考、动手操作的能力,在

C语言课程设计报告模板

中国地质大学(武汉)课程设计报告 题目 c语言程序设计 姓名 学号 专业统计学 所在院系经济管理学院 指导老师江俊君 日期 2016/6/11

目录 目录..................................................... 错误!未定义书签。1.课程论文题目 ........................................... 错误!未定义书签。2.程序设计思路 ........................................... 错误!未定义书签。3.功能模块图............................................. 错误!未定义书签。4.数据结构设计 ........................................... 错误!未定义书签。5.算法设计............................................... 错误!未定义书签。6.程序代码............................................... 错误!未定义书签。7.程序运行结果 ........................................... 错误!未定义书签。8.编程中遇到的困难及解决方法 ............................. 错误!未定义书签。9.总结心得及良好建议 ..................................... 错误!未定义书签。10.致谢.................................................. 错误!未定义书签。

FPGA课程设计报告

2014年FPGA课程设计 课程设计报告 课程名称:FPGA课程设计 实验名称:直接数字合成器设计 姓名:李思彧 学号: 20114690 班级:电子科11-1 班 指导教师:倪伟 合肥工业大学电子科学与应用物理学院制

一、实验原理 直接数字式频率合成器(DDS)是将先进的数字处理理论与方法引入频率合成的一项新技术,DDS把一系列数字量形式的信号通过数/模转换器转换成模拟量形式的信号。DDS的具体工作过程是由N位相位累加器、N位加法器和N位累加寄存器组成。每来一个时钟脉冲,N位加法器将频率控制字K与N 位累加寄存器输出的累加相位数据相加,并把相加后的结果送至累加寄存器的输入端。累加寄存器一方面将上一时钟周期作用后所产生的新的相位数据反馈到加法器的输入端,使加法器在下一时钟的作用下继续与频率控制字K相加;另一方面将这个值作为取样地址送入幅度/相位转换电路,幅度/相位转换电路根据这个地址输出相应的波形数据。最后经D/A转换器和LPF将波形数据转换成所需要的模拟波形。 图1.直接数字式频率合成的基本框图 1 DDS的设计原理 DDS的原理图如图1所示。DDS实现频率合成主要是通过查表的方式进行的。正弦查询表是一个只读存储器(ROM),以相位为地址,存有1个或多个按0°~360°相位划分幅值的正弦波幅度信息。相位累加器对频率控制字进行累加运算,若需要还可以加入相位控制字,得到的结果作为正弦波查询表的地址。正弦查询表的输出为数字化正弦幅度值,通过D/A转换器转化为近似正弦波的阶梯波,

再通过低通滤波器滤除高频成分和噪声最终得到一个纯正度很高的正弦波。1.1 建模 正弦波y=sin(2πx),若以f量化的量化频率对其幅度值进行量化,一个周期可以得到M=f量化个幅度值。将这些幅度值按顺序存入到ROM。相位累加器在参考时钟的驱动下,每来1个脉冲,输出就会增加1个步长相位增量X,输出数据作为地址送入ROM中,读出对应的幅度值形成相应的波形。 1.2 参数设定 DDS输出信号频率: 其中,X为频率累加器设定值;N为相位累加器位数;fc为参考时钟频率。 例如,假定基准时钟为200 MHz,累加器的位数为32,频率控制字X 为: 0x08000000H,即为227,则: 再设定频率控制字X为0x80000000H,即为231,则: 可见,理论上通过设定DDS相位累加器位数N、频率控制字X和基准fc的值,就可以得到任一频率的输出。频率分辨率为:fres=fc/2N,由参考时钟和累加器的位数决定,当参考时钟的频率越高,相位累加器的位数越高,所得到的频率分辨率就越高。

专业课程设计报告模板

Your school brand here(学校图标) 专业课程设计报告 题目:…………………… 系别 专业班级 学生姓名 指导教师 提交日期 2011年?月??日

目录 一、设计目的(一级标题,用黑体小三) (1) 二、设计要求和设计指标 (1) 三、设计内容 (1) 3.1 变频电路工作原理(二级标题,用黑体四号) (1) 3.1.1 ... (三级标题,用黑体小四) (1) 3.1.2 (1) 3.2 仿真结果与分析 (1) 四、本设计改进和建议 (2) 五、总结(感想和心得等) (2) 六、主要参考文献 (2) 附录 (2)

一、设计目的(一级标题,用黑体小三,1.5倍行距,段前、段后0行) 可以围绕为了熟悉××课程,学习××软件的使用,以及锻炼××能力、掌握××知识等方面展开讲述。 (正文:宋体小四,1.5倍行距) 二、设计要求和设计指标 (对你所设计课题应该达到什么效果,结合设计任务书中内容阐述,你所做设计有什么意义,用到哪些主要参数指标) 三、设计内容 主要包含的内容: 1、变频电路的结构设计(例如:PWM交-直-交变频电路结构),对变频电路的原理进行说明,按照先整体后局部的顺序。 2、仿真结果分析,按照任务书中对仿真结果分析的要求进行。 3.1 变频电路工作原理(二级标题,用黑体四号,1.5倍行距,段前、段后0行) 3.1.1 … (三级标题,用黑体小四,1.5倍行距,段前、段后0行) 3.3.2 … 3.2仿真结果与分析

四、本设计改进建议 五、总结(感想和心得等) 六、主要参考文献 (参考文献格式是按照毕业论文对参考文献的标准列出)例: [1]易跃春. 风力发电现状发展前景以及市场分析[J]. 国际电力,2004,(10):54-59 [2]迟永宁. 大型风电场接入电网的稳定性问题研究[D]. 北京:中国电力科学研究院,2006 [3]Global wind energy council (GWEC). Global wind 2006 report. Brussels,Belgium:2007 [4]欧洲风能协会/国际绿色和平,中国资源综合利用协会可再生能源专业委员会/绿色和平,中国.风力12:关于2020年风电达到世界电力总量的12%的蓝图[M]. 北京:中国环境出版社,2004 附录

FPGA课程设计题目

1、彩灯控制器设计 内容及要求: 设计一个彩灯控制器,具体设计要求如下: (1)要有多种花型变化(至少设计5种),led至少16路 (2)多种花型可以自动变化 (3)彩灯变换的快慢节拍可以选择 (4)具有清零开关 (5)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。 2、数字秒表设计 内容及要求: 设计一用于体育比赛的数字秒表,具体设计要求如下: (1)6位数码管显示,其中两位显示min,四位显示see,显示分辨率为0.01 s。 (2)秒表的最大计时值为59min59.99see。 (3)设置秒表的复位/启动键,按一下该键启动计时,再按即清0。依此循环。 (4)设置秒表的暂行/继续键。启动后按一下暂行,再按继续。依此循环。 (5)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。 3、交通信号控制系统设计 内容及要求: 设计一个十字路口交通控制系统,具体设计要求如下: (1)东西(用A表示)、南北(用B表示)方向均有绿灯、黄灯、红灯指示,其持续时间分别是40秒、5秒和45秒, 交通灯运行的切换示意图和时序图分别如图1、图2所示。 (2)系统设有时钟,以倒计时方式显示每一路允许通行的时间。 (3)当东西或南北两路中任一路出现特殊情况时,系统可由交警手动控制立即进入特殊运行状态,即红灯全亮,时钟停止计时,东西、南北两路所有车辆停止通行;当特殊运行状态结束后,系统恢复工作,继续正常运行。 图1 交通灯运行切换示意图

B红 CP A绿 A黄 A红 B黄 B绿 5S 5S 图2 交通灯时序图 (4)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。 4、简易密码锁设计 内容及要求 设计一个4位串行数字锁。 (1)开锁代码为4位二进制,当输入代码的位数与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮一个指示灯。否则进入“错误”状态,并发出报警信号。 (2)锁内的密码可调,且预置方便,保密性好。 (3)串行数字锁的报警由点亮一个灯,直到按下复位开关,报警才停下。此时,数字锁又自动等待下一个开锁状态。 (4)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。 5、出租车计价器设计 内容及要求 (1)设一个出租车自动计费器,计费包括起步价、行驶计费和等待计费三个部分,用4个数码管显示出金额数目,最大值为999.9元,最小计价单位为0.1元。行驶里程在3公里范围内且等待时间未超过三分钟时按起步价8元计费;行驶里程超过三公里后按每公里2元收费;等待时间超过三分钟后按每分钟1元收费。等待时间用两个数码管显示,最大值为59分钟。 总费用=起步价+(里程-3km )*里程单价+(等待时间-3)*等候单价 (2)能够实现的功能: 显示汽车行驶里程:用四位数字显示,单位为km 。 计程范围为0~99km ,计程分辨率为1km 。 显示等候时间:用两位数字显示分钟,单位为min 。计时范围为0~59min ,计时分辨率为1min 。

课程设计课程设计心得体会

课程设计-课程设计心得体会 课程设计心得体会 通过此次课程设计,使我更加扎实的掌握了有关高频电子线路方面的知识,在设计过程中虽然遇到了一些问题,但经过一次又一次的思考,一遍又一遍的检查终于找出了原因所在,也暴露出了前期我在这方面的知识欠缺和经验不足。实践出真知,通过亲自动手制作,使我们掌握的知识不再是纸上谈兵。 过而能改,善莫大焉。在课程设计过程中,我们不断发现错误,不断改正,不断领悟,不断获龋最终的检测调试环节,本身就是在践行“过而能改,善莫大焉”的知行观。这次课程设计终于顺利完成了,在设计中遇到了很多问题,最后在老师的指导下,终于游逆而解。在今后社会的发展和学习实践过程中,一定要不懈努力,不能遇到问题就想到要退缩,一定要不厌其烦的发现问题所在,

然后一一进行解决,只有这样,才能成功的做成想做的事,才能在今后的道路上劈荆斩棘,而不是知难而退,那样永远不可能收获成功,收获喜悦,也永远不可能得到社会及他人对你的认可! 课程设计诚然是一门专业课,给我很多专业知识以及专业技能上的提升,同时又是一门讲道课,一门辩思课,给了我许多道,给了我很多思,给了我莫大的空间。同时,设计让我感触很深。使我对抽象的理论有了具体的认识。通过这次课程设计,我掌握了常用元件的识别和测试;熟悉了常用仪器、仪表;了解了电路的连线方法;以及如何提高电路的性能等等,掌握了焊接的方法和技术,通过查询资料,也了解了收音机的构造及原理。 我认为,在这学期的实验中,不仅培养了独立思考、动手操作的能力,在各种其它能力上也都有了提高。更重要的是,在实验课上,我们学会了很多学习的方法。而这是日后最实用的,真的

FPGA设计报告

西安邮电学院 FPGA课程设计报告 题目:采用RAM实现计数器及FPGA功能验证 院系:电子工程学院 专业班级: 学生姓名: 导师姓名: 起止时间:2012-06-18至2012-06-29 2012年07 月01 日

FPGA课程设计报告提纲 1.任务 用一个10×8的双口RAM完成10个8位计数器,计数器的初值分别为 1~10,时钟频率为1MHz,计数器计数频率为1Hz。 用FPGA开发板上的按键作为计数器计数值的输出选择控制,数码管 (或led)作为选择计数器的计数值输出。 2.目的 采用RAM实现计数器及FPGA功能验证 3.使用环境(软件/硬件环境,设备等) 前仿modelsim 6.1f 后仿Quartus II 10.1 xilinx ise 9.1 FPGA课程设计详细内容 4.1 技术规范 功能: 1.先由复位键从选定的RAM地址中读出预置的8位初值存入计数模块。 2.由开始键开始计数,暂停键暂停计数并同时存入RAM中以选定的存储单元。 3. 双端口RAM为10×8RAM由一个地址切换键按顺序切换1~10个地址端 口。 4.读出数据开始计数暂 停计数存入数据 计数流程 5输出到数 码管显示 读取结果输出流程 6.分频:1Hz的秒计时频率,用来进行秒计时;

4.2 设计方案 信号定义: 分频:1Hz 的秒计时频率, 用来进行秒计时 分频:时钟信号clk ; 分频信号 clk_1hz ; 开始计时(使能) rst_n ; 切换端口 开始计 暂停计数 存入数据 计数:开始计数 rst_n 计数器复位 reset ; 计数输出 ain ; 计数暂停 pause ; 计数置数 reduce ;

相关文档
相关文档 最新文档