文档库 最新最全的文档下载
当前位置:文档库 › Multisim使用简介

Multisim使用简介

Multisim使用简介
Multisim使用简介

ⅤMultisim 2001 使用简介

Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。为适应不同的应用场合,Multisim推出了许多版本,用户可以根据自己的需要加以选择。在本书中将以教育版为演示软件,结合教学的实际需要,简要地介绍该软件的概况和使用方法,并给出几个应用实例(样例文件见光盘)。

第一节Multisim概貌

软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。

一、Multisim的主窗口界面。

启动Multisim 2001后,将出现如图1所示的界面。

界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。

二、菜单栏

菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。

不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。

1. File

File菜单中包含了对文件和项目的基本操作以及打印等命令。

命令功能

New 建立新文件

Open 打开文件

Close 关闭当前文件

Save 保存

Save As 另存为

New Project 建立新项目

Open Project 打开项目

Save Project 保存当前项目

Close Project 关闭项目

Version Control 版本管理

Print Circuit 打印电路

Print Report 打印报表

Print Instrument 打印仪表

Recent Files 最近编辑过的文件

Recent Project 最近编辑过的项目

Exit 退出Multisim

2. Edit

Edit命令提供了类似于图形编辑软件的基本编辑功能,用于对电路图进行编辑。命令功能

Undo 撤消编辑

Cut 剪切

Copy 复制

Paste 粘贴

Delete 删除

Select All 全选

Flip Horizontal 将所选的元件左右翻转

Flip Vertical 将所选的元件上下翻转

90 ClockWise 将所选的元件顺时针90度旋转90 ClockWiseCW 将所选的元件逆时针90度旋转

Component Properties 元器件属性

3.View

通过View菜单可以决定使用软件时的视图,对一些工具栏和窗口进行控制。

命令功能

Toolbars 显示工具栏

Component Bars 显示元器件栏

Status Bars 显示状态栏

Show Simulation Error Log/Audit Trail 显示仿真错误记录信息窗口

Show XSpice Command Line Interface 显示Xspice命令窗口

Show Grapher 显示波形窗口

Show Simulate Switch 显示仿真开关

Show Grid 显示栅格

Show Page Bounds 显示页边界

Show Title Block and Border 显示标题栏和图框

Zoom In 放大显示

Zoom Out 缩小显示

Find 查找

4.Place

通过Place命令输入电路图。

命令功能

Place Component 放置元器件

Place Junction 放置连接点

Place Bus 放置总线

Place Input/Output 放置输入/出接口

Place Hierarchical Block 放置层次模块

Place Text 放置文字

Place Text Description Box 打开电路图描述窗口,编辑电路图描述文字Replace Component 重新选择元器件替代当前选中的元器件Place as Subcircuit 放置子电路

Replace by Subcircuit 重新选择子电路替代当前选中的子电路5.Simulate

通过Simulate菜单执行仿真分析命令。

命令功能

Run 执行仿真

Pause 暂停仿真

Default Instrument Settings 设置仪表的预置值

Digital Simulation Settings 设定数字仿真参数

Instruments 选用仪表(也可通过工具栏选择)Analyses 选用各项分析功能

Postprocess 启用后处理

VHDL Simulation 进行VHDL仿真

Auto Fault Option 自动设置故障选项

Global Component Tolerances 设置所有器件的误差

6.Transfer菜单

Transfer菜单提供的命令可以完成Multisim对其它EDA软件需要的文件格式的输出。

命令功能

Transfer to Ultiboard 将所设计的电路图转换为Ultiboard(Multisim中

的电路板设计软件)的文件格式

Transfer to other PCB Layout 将所设计的电路图以其他电路板设计软件所支持

的文件格式

Backannotate From Ultiboard 将在Ultiboard中所作的修改标记到正在编辑的

电路中

Export Simulation Results to MathCAD 将仿真结果输出到MathCAD

Export Simulation Results to Excel 将仿真结果输出到Excel

Export Netlist 输出电路网表文件

7.Tools

Tools菜单主要针对元器件的编辑与管理的命令。

命令功能

Create Components 新建元器件

Edit Components 编辑元器件

Copy Components 复制元器件

Delete Component 删除元器件

Database Management 启动元器件数据库管理器,进行数据库的编辑管

理工作

Update Component 更新元器件

8.Options

通过Option菜单可以对软件的运行环境进行定制和设置。

命令功能

Preference 设置操作环境

Modify Title Block 编辑标题栏

Simplified Version 设置简化版本

Global Restrictions 设定软件整体环境参数

Circuit Restrictions 设定编辑电路的环境参数

9.Help

Help菜单提供了对Multisim的在线帮助和辅助说明。

命令功能

Multisim Help Multisim的在线帮助

Multisim Reference Multisim的参考文献

Release Note Multisim的发行申明

About Multisim Multisim的版本说明

三、工具栏

Multisim 2001提供了多种工具栏,并以层次化的模式加以管理,用户可以通过View菜单中的选项方便地将顶层的工具栏打开或关闭,再通过顶层工具栏中的按钮来管理和控制下层的工具栏。通过工具栏,用户可以方便直接地使用软件的各项功能。

顶层的工具栏有:Standard工具栏、Design工具栏、Zoom工具栏,Simulation工具栏。

1.Standard工具栏包含了常见的文件操作和编辑操作,如下图所示:

2.Design工具栏作为设计工具栏是Multisim的核心工具栏,通过对该工作栏按钮的操作可以完成对电路从设计到分析的全部工作,其中的按钮可以直接开关下层的工具栏:Component中的Multisim Master工具栏,Instrument工具栏。

(1)作为元器件(Component)工具栏中的一项,可以在Design工具栏中通过按钮来开关Multisim Master工具栏。该工具栏有14个按钮,每个每一个按钮都对应一类元器件,其分类方式和Multisim元器件数据库中的分类相对应,通过按钮上图标就可大致清楚该类元器件的类型。具体的内容可以从Multisim的在线文档中获取。

这个工具栏作为元器件的顶层工具栏,每一个按钮又可以开关下层的工具栏,下层工具栏是对该类元器件更细致的分类工具栏。以第一个按钮为例。通过这个按钮可以开关电源和信号源类的Sources工具栏如下图所示:

(2)Instruments工具栏集中了Multisim为用户提供的所有虚拟仪器仪表,用户可以通过按钮选择自己需要的仪器对电路进行观测。

3.用户可以通过Zoom工具栏方便地调整所编辑电路的视图大小。

4.Simulation工具栏可以控制电路仿真的开始、结束和暂停。

第二节Multisim对元器件的管理

EDA软件所能提供的元器件的多少以及元器件模型的准确性都直接决定了该EDA软件的质量和易用性。Multisim为用户提供了丰富的元器件,并以开放的形式管理元器件,使得用户能够自己添加所需要的元器件。

Multisim以库的形式管理元器件,通过菜单Tools/ Database Management打开Database Management(数据库管理)窗口(如下图所示),对元器件库进行管理。

在Database Management窗口中的Daltabase列表中有两个数据库:Multisim Master和User。其中Multisim Master库中存放的是软件为用户提供的元器件,User是为用户自建元器件准备的数据库。用户对Multisim Master数据库中的元器件和表示方式没有编辑权。当选中Multisim Master时,窗口中对库的编辑按钮全部失效而变成灰色,如下图所示。但用户可以通过这个对话窗口中的Button in Toolbar显示框,查找库中不同类别器件在工具栏中的表示方法。

据此用户可以通过选择User数据库,进而对自建元器件进行编辑管理。

在Multisim Master中有实际元器件和虚拟元器件,它们之间根本差别在于:一种是与实际元器件的型号、参数值以及封装都相对应的元器件,在设计中选用此类器件,不仅可以使设计仿真与实际情况有良好的对应性,还可以直接将设计导出到Ultiboard中进行PCB的设计。另一种器件的参数值是该类器件的典型值,不与实际器件对应,用户可以根据需要改变器件模型的参数值,只能用于仿真,这类器件称为虚拟器件。它们在工具栏和对话窗口中的表示方法也不同。在元器件工具栏中,虽然代表虚拟器件的按钮的图标与该类实际器件的图标形状相同,但虚拟器件的按钮有底色,而实际器件没有,如下图所示。

从图中可以看到,相同类型的实际元器件和虚拟元器件的按钮并排排列,并非所有的是

元器件都设有虚拟类的器件。

在元器件类型列标中,虚拟元器件类的后缀标有Virtual,如下图所示:

第三节输入并编辑电路

输入电路图是分析和设计工作的第一步,用户从元器件库中选择需要的元器件放置在电路图中并连接起来,为分析和仿真做准备。

一、设置Multisim的通用环境变量

为了适应不同的需求和用户习惯,用户可以用菜单Option/Preferences打开Preferences 对话窗口,如下图所示。

通过该窗口的6个标签选项,用户可以就编辑界面颜色、电路尺寸、缩放比例、自动存储时间等内容作相应的设置。

以标签Workspace为例,当选中该标签时,Preferences对话框如下图所示:

在这个对话窗口中有3个分项:

1.Show:可以设置是否显示网格,页边界以及标题框。

2.Sheet size:设置电路图页面大小。

3.Zoom level:设置缩放比例。

其余的标签选项在此不再详述。

二、取用元器件

取用元器件的方法有两种:从工具栏取用或从菜单取用。下面将以74LS00为例说明两种方法。

1.从工具栏取用:Design工具栏→Multisim Master工具栏→TTL工具栏→74LS按钮从TTL工具栏中选择74LS按钮打开这类器件的Component Browser窗口,如下图所示。其中包含的字段有Database name(元器件数据库),Component Family(元器件类型列表),Component Name List(元器件名细表),Manufacture Names(生产厂家),Model Level-ID(模型层次)等内容。

2.从菜单取用:通过Place/ Place Component命令打开Component Browser窗口。该窗口与上图一样。

3.选中相应的元器件

在Component Family Name中选择74LS系列,在Component Name List中选择74LS00。单击OK按钮就可以选中74LS00,出现如下备选窗

口。7400是四/二输入与非门,在窗口种的Section A/B/C/D分别代表其中

的一个与非门,用鼠标选中其中的一个放置在电路图编辑窗口中,如左图

所示。器件在电路图中显示的图形符号,用户可以在上面的Component Browser中的Symbol 选项框中预览到。当器件放置到电路编辑窗口中后,用户就可以进行移动、复制、粘贴等编辑工作了,在此不再详述。

三、将元器件连接成电路

在将电路需要的元器件放置在电路编辑窗口后,用鼠标就可以方便地将器件连接起来。方法是:用鼠标单击连线的起点并拖动鼠标至连线的终点。在Multisim中连线的起点和终点不能悬空。

第四节虚拟仪器及其使用

对电路进行仿真运行,通过对运行结果的分析,判断设计是否正确合理,是EDA软件的一项主要功能。为此,Multisim为用户提供了类型丰富的虚拟仪器,可以从Design工具栏 Instruments工具栏,或用菜单命令(Simulation/ instrument)选用这11种仪表,如下图所示。在选用后,各种虚拟仪表都以面板的方式显示在电路中。

下面将11种虚拟仪器的名称及表示方法总结如下表:

仪器名称电路中的仪器符号

菜单上的表示方法在仪器工具栏

上的对应按钮

Multimeter 万用表

Function Generator 波形发生器

Wattermeter 瓦特表

Oscilloscape 示波器

Bode Plotter 波特图图示仪

Word Generator 字元发生器

Logic Analyzer

逻辑分析仪

Logic Converter

逻辑转换仪

Distortion Analyzer

失真度分析仪

Spectrum Analyzer

频谱仪

Network Analyzer

网络分析仪

注1:该软件中用 ’ 代替 — 表示反变量,例如A A '=。

注2:该软件没有异或符号,处理方式是将异或运算写成B A B A B A '+'=⊕。

在电路中选用了相应的虚拟仪器后,将需要观测的电路点与虚拟仪器面板上的观测口相连(如下图),可以用虚拟示波器同时观测电路中两点的波形。

双击虚拟仪器就会出现仪器面板,面板为用户提供观测窗口和参数设定按钮。以上图为例,双击图中的示波器,就会出现示波器的面板。通过Simulation 工具栏启动电路仿真,示波器面板的窗口中就会出现被观测点的波形,如下图所示。

第五节电路实例

这节将以3个电路实例说明Multisim在电路设计和分析中的使用方法。Multisim的基础是正向仿真,为用户提供了一个软件平台,允许用户在进行硬件实现以前,对电路进行观测和分析。

例1.构造同步16进制计数器,并用7段数码管进行观测(文件名:counter.msm)。通过运行仿真验证电路功能。在这个电路的基础上将计数器改为10进制,并通过仿真验证修改结果是否正确(注:显示0~9)。

首先选用T触发器和带译码的7段数码管和与门一起构成4位16进制计数器如下图。在电路中选用1Hz矩形波发生器,通过仿真观测运行的情况。

使用异步置零法,在图中加入反馈电路,当触发器的状态变为1010时通过Reset端对触发器进行清零。电路设计结果如下图。通过仿真可以观测到电路已经成为10进制计数器(文件名:counterb.msm)。

例2.分析已经给出的阶梯波发生器。电路如下图(文件名:Stepwave.msm)。通过运行仿真观测电路的功能,通过改变信号源的参数来改变阶梯波的频率,同时用示波器进行观测。

从图中可以看到,电路大致分为两个部分,上部分为4个T触发器和相应门电路构成的16进制计数器,下部分为D/A转换器。电路的信号源为矩形波发生器,通过示波器观测到的波形如下图。

例3.分析下图给出的电路(文件名:555.msm),并通过仿真观测电路的功能。

在电路中将555的两个输入端连在一起作为信号输入端,输入为正弦波信号。整个电路的功能为施密特触发器,它将输入的正弦信号变换为矩形波信号。通过示波器观测到电路的运行情况如下图。

Multisim基础使用方法详解

第2章Multisim9的基本分析方法 主要容 ? 2.1 直流工作点分析(DC Operating Point Analysis ) ? 2.2 交流分析(AC Analysis) ? 2.3 瞬态分析(Transient Analysis) ? 2.4 傅立叶分析(Fourier Analysis) ? 2.5 失真分析(Distortion Analysis) ? 2.6 噪声分析(Noise Analysis) ? 2.7 直流扫描分析(DC Sweep Analysis) ? 2.8 参数扫描分析(Parameter Sweep Analysis) 2.1 直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。 在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 2.1.1构造电路 为了分析电路的交流信号是否能正常放大,必须了解电路的直流工作点设置得是否合理,所以首先应对电路得直流工作点进行分析。在Multisim9工作区构造一个单管放大电路,电路中电源电压、各电阻和电容取值如图所示。

注意:图中的1,2,3,4,5等编号可以从Options---sheet properties—circuit—show all 调试出来。 执行菜单命令(仿真)Simulate/(分析)Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图A所示。直流工作点分析对话框B。 1. Output 选项 Output用于选定需要分析的节点。 左边Variables in circuit 栏列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏中选中需要分析的变量(可以通过鼠标拖拉进行全选),再单击Add按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。 2.Analysis Options 和Summary选项表示:分析的参数设置和Summary页中排列了该分析所设置的所有参数和选项。用户通过检查可以确认这些参数的设置。 2.1.3 检查测试结果

Multisim基础使用方法详解

M u l t i s i m基础使用方 法详解 集团标准化工作小组 #Q8QGGQT-GX8G08Q8-GNQGJ8-MHHGN#

第2章 Multisim9的基本分析方法 主要内容 ?直流工作点分析(DC Operating Point Analysis ) ?交流分析(AC Analysis) ?瞬态分析(Transient Analysis) ?傅立叶分析(Fourier Analysis) ?失真分析(Distortion Analysis) ?噪声分析(Noise Analysis) ?直流扫描分析(DC Sweep Analysis) ?参数扫描分析(Parameter Sweep Analysis) 直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。 在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 2.1.1构造电路

为了分析电路的交流信号是否能正常放大,必须了解电路的直流工作点设置得是否合理,所以首先应对电路得直流工作点进行分析。在Multisim9工作区构造一个单管放大电路,电路中电源电压、各电阻和电容取值如图所示。 注意:图中的1,2,3,4,5等编号可以从Options---sheet properties—circuit—show all 调试出来。 执行菜单命令(仿真)Simulate/(分析)Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图A所示。直流工作点分析对话框B。 1. Output 选项 Output用于选定需要分析的节点。 左边Variables in circuit 栏内列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏内中选中需要分析的变量(可以通过鼠标拖拉进行全选),再单击Add按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。 Options 和Summary选项表示:分析的参数设置和Summary页中排列了该分析所设置的所有参数和选项。用户通过检查可以确认这些参数的设置。 2.1.3 检查测试结果 点击B图下部Simulate按钮,测试结果如图所示。测试结果给出电路各个节点的电压值。根据这些电压的大小,可以确定该电路的静态工作点是否合理。如果不合理,可以

Multisim基本操作

XXXX学院–物理与电子信息工程学院 实验报告 实验班级: 课程名称: 专业实训 实验名称: Multisim基本操作 指导教师: 实验日期: 2017.12.10 姓名: 学号:

实训目的 学会使用Multisim进行基本电路操作 实训内容 一、基本操作 1、菜单栏 菜单栏中有以下常用选择 File中有以下主要文件操作: New新建文件,Open打开文件,Close关闭文件,Close all关闭所有文件,Save保存文件,Save As另存文件,Print打印文件,Print Setup打印设置和Exit退出等。 Edit中常用的编辑操作有:undo撤退,redo前进,cut剪切,copy复制,paste粘贴,这些操作也可以在工具栏内快速选择。 View中常用的操作有:zoom in放大电路,zoom out缩小电路,zoom area以100%的比率来显示电路等。 Place中常用的放置操作有:component放置元器件,bus总线,text放置文字等。这些选择在工具栏内也有快捷选项。 Simulate中常用的仿真操作有:run运行,pause暂停,这些在工具栏内可直接操作。以及analyses仿真方法选择,Instruments 仪表选择,在仪表栏内可快速找到。 Reports中常用的报告操作有:bill of materials电路图使用器件报告,可以获取详细的所需器件列表,方便购买等。 Options中常用的常用的操作有:sheet properties选项中的主

要操作有circuit电路背景设置,workspace纸张大小设置,wiring 电线宽度设置,font字体设置等。 Window中常用的操作有:new window新窗口。 Help中常用的常用的操作有:multisim help可以查找关于软件的一些问题。 其他的像MCU 、Transfer以及Tools我们很少用到。 2、工具栏 主要的操作是元器件的选择,以及一些快捷操作,例如放大(缩小)页面,电路运行以及停止,文字输入(直接在电路工作区输入文字或者在文本描述框输入文字)等等。

实验1:电路仿真工具Multisim的基本应用

实验一电路仿真工具Multisim的基本应用 一.实验目的 1.学会电路仿真工具Multisim的基本操作。 2.掌握电路图编辑法,用Multisim对电路进行仿真。 二、实验仪器 PC机、Multisim软件 三、实验原理 MultiSim 7 软件是加拿大Electronics Workbench 公司推出的用于电子电路仿真的虚拟电子工作台软件。它可以对模拟电路、数字电路或混合电路进行仿真。该软件的特点是采用直观的图形界面,在计算机屏幕上模仿真实实验室的工作台,用屏幕抓取的方式选用元器件,创建电路,连接测量仪器。软件仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。 1. Multisim 7主窗口 2. 常用Multisim7 设计工具栏 元件编辑器按钮--用以增加元件仿真按钮--用以开始、暂停或结束电路仿真。 分析图表按钮--用于显示分析后的图表结果分析按钮--用以选择要进行的分析。 3.元件工具栏(主窗口左边两列) 其中右边一列绿色的为常用元器件(且为理想模型)。左边一列包含了所有元器件(包括理想模型和类实际元器件模型)。在电路分析实验中常用到的器件组包括以下三个组(主界面左边第二列): 电源组信号源基本器件组

(1)电源(点击电源组) 交流电源直流电源接地 (2)基本信号源 交流电流源交流电压源 (3)基本元器件(点击基本器件组) 电感电位器电阻可变电容电容 4.常用虚拟仪器(主窗口右侧一列) ⑴数字万用表 数字万用表的量程可以自动调整。双击虚拟仪器可进行参数设定。下图是其图标和面板: 其电压、电流档的内阻,电阻档的电流和分贝档的标准电压值都可以任意设置。从打开的面板上选Setting按钮可以设置其参数。 (2)信号发生器 信号发生器可以产生正弦、三角波和方波信号,其图标和面板如下图所示。可调节方波和三角波的占空比。双击虚拟仪器可进行参数设定。 (3)示波器 在Multisim 7中提供了两种示波器:通用双踪示波器和4通道示波器。双击虚拟仪器可进行参数设定。这里仅介绍通用双踪示波器。其图标和面板如下图所示。

Multisim使用简介

ⅤMultisim 2001 使用简介 Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。为适应不同的应用场合,Multisim推出了许多版本,用户可以根据自己的需要加以选择。在本书中将以教育版为演示软件,结合教学的实际需要,简要地介绍该软件的概况和使用方法,并给出几个应用实例(样例文件见光盘)。 第一节Multisim概貌 软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。 一、Multisim的主窗口界面。 启动Multisim 2001后,将出现如图1所示的界面。 界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。 二、菜单栏 菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。 不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。

1. File File菜单中包含了对文件和项目的基本操作以及打印等命令。 2. Edit Edit命令提供了类似于图形编辑软件的基本编辑功能,用于对电路图进行编辑。

Multisim简介及使用

目录 1 Multisim 12简介及使用 (2) 1.1 Multisim简介 (2) 1.1.1 Multisim概述 (2) 1.1.2 Multisim发展历程 (2) 1.1.3 Multisim 12的特点 (4) 1.2 Multisim 12的基本界面 (6) 1.2.1 Multisim 12的主窗口界面 (6) 1.2.2 Multisim 12的标题栏 (7) 1.2.3 Multisim 12的菜单栏 (7) 1.2.4 Multisim 12的工具栏 (9) 1.2.5 Multisim 12的元件库 (10) 1.2.6 Multisim 12的虚拟仪器库 (12) 1.3 Multisim 12的使用方法与实例 (13) 页脚内容1

1Multisim 12简介及使用 1.1Multisim简介 1.1.1Multisim概述 NI Multisim是一款著名的电子设计自动化软件,与NI Ultiboard同属美国国家仪器公司的电路设计软件套件。是入选伯克利加大SPICE项目中为数不多的几款软件之一。Multisim在学术界以及产业界被广泛地应用于电路教学、电路图设计以及SPICE模拟。 Multisim是以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 我们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样我们无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 1.1.2Multisim发展历程 Multisim 电路仿真软件最早是加拿大图像交互技术公司(Interactive Image Technologies,IIT)于20世纪80年代末推出的一款专门用于电子线路仿真的虚拟电子工作平台(Electronics Workbench,EWB)。用来对数字电路、模拟电路以及模拟/数字混合电路进行仿真。20世纪90年代初,EWB软件进入我国。1996年IIT公司推出EWB 5.0版本,由于其操作界面直观、操作方便、分析功能强大、易学易用等突出优点,在我国高等院校得到迅速推广,也受到电子行业技术人员的青睐。 从EWB 5.0版本以后,IIT公司对EWB进行了较大的变动,将专门用于电子电路仿真的模块改名为Multisim,将原IIT公司的PCB制板软件Electronics Workbench Layout更名为Ultiboard,为了增强器布线能力,开发了Ultiroute布线引擎。另外,还推出了用于通信系统的仿真软件Commsim。至此,Multisim、Ultiboard、 页脚内容2

multisim使用教程

Multi sim 原理图输入,仿真与可编程逻辑 入门指导

前言 祝贺您选择了Multisim。我们有信心将数年来增加的超级设计 功能交付给您。Electronics Worbench是世界领先的电路设计 工具供应商,我们的用户比其它任何的EDA开发商的用户都多。 所以我们相信,您将对Multisim以及您可能选择的任何其它 的Electronics Workbench产品所带来的价值感到满意。 文件惯例 当涉及到工具按钮时,相应的工具按钮出现在文字的左边。 虽然multisim的电路显示模式是彩色的,但本手册中以黑白 模式显示电路。(您可以将此定制成您喜好的设置) 当您看到这样的图标时,所描述的功能只有特定的版本才有。 用户可以购买相应的附加模块。 Multisim 用Menu/Item表示菜单命令。例如,File/Open表示 在File菜单中选择Open命令。 本手册用箭头( )表示程序信息。 Multisim文件系列 Multisim文件包括“Multisim入门指导”、“User Guide”和 在线帮助。所有的用户都会收到这两本手册的PDF版本。用户 还会收到所购买Multisim版本的印刷版手册。 入门指导 “入门指导”向您介绍Multisim界面,并指导您学习电路设 计(circuit)、仿真(similation)、分析(analysis)和报

告(reporting)。 User Guide “User Guide”详细介绍了Multisim的各项功能,它是基于 电路设计层次进行组织的,详细地描述了Multisim的各个方 面。 在线帮助 Multisim提供在线帮助文件系统以支持您使用,选择 Help/Multisim Manua l可显示详细描述Multisim程序的文件,或者选择Help/Multisim Help显示包含参考资料(来自于印 刷版的附录)的帮助文件,比如对Multisim所提供元器件的 详细介绍。所有的帮助文件窗口都是标准窗口,并提供内容列 表与索引。 Adobe PDF文件 Multisim光盘中提供“Getting Start and Tutorial”和“User Guide”的PDF文件,并且可从Windows的Start菜单进入。 目录 第一章导论

Multisim10的基本使用-电路的仿真测量

Multisim10的基本使用 ---------电路的仿真测量 学会在NI Multisim10虚拟电子实验平台调用测量元件和仪器仪表,并能设置和使用电流表、电压表、数字万用表、函数信号发生器、示波器和频率计。 知识准备 Multisim10提供了种类齐全的测量工具和虚拟仪器仪表,它们的操作、使用、设置、连接和观测方法与真实仪器几乎完全相同,就好像在真实的实验室环境中使用仪器。在仿真过程中,这些仪器能够非常方便地监测电路工作情况和对仿真结果进行显示及测量。 Multisim10提供了测量元件如电流表、电压表和探针可在如图1-46的测量元件工具栏中调用,或在元器件工具栏上打开“指示器”对话框中调用。 (a )测量元件工具栏 (b )指示器对话框 图1-46 调用测量元件的两种方法 Multisim 10还提供了18种虚拟仪器仪表(数字万用表、函数信号发生器、功率计、双踪示波器、4踪示波器、波特图示仪、频率计、字发生器、逻辑分析仪、逻辑转换仪、I-V 特性分析仪、失真度分析仪、频谱分析仪、网络分析仪、安捷伦信号发生器、安捷伦万用表、安捷伦示波器、泰克示波器),1个实时测量探针,4种LabVIEW 采样仪器和1个电流检测探针,都可在如图1-47所示工具栏中找到。 图1-47 虚拟仪器仪表工具栏 函数 信号源 双踪 示波器 波特 图示仪 I-V 特性 分析仪 逻辑转换仪 安捷伦 示波器 频谱分析 逻辑 分析仪 安捷伦万用表 实时测 量探针 网络分 析仪 功率表 泰克 示波器 字发生器 失真度 分析仪 安捷伦 信号源 电流检测探针 4种LabIEW 采样仪器 数字 万用表 4踪 示波器 频率计 指示器元件库

相关文档