文档库 最新最全的文档下载
当前位置:文档库 › 电子项目作业 电子秒表资料

电子项目作业 电子秒表资料

电子项目作业 电子秒表资料
电子项目作业 电子秒表资料

单片机项目作业

项目题目:电子秒表

指导教师:姬红旭

班级:计科123班

学生姓名:马超

学号: 2012090137

一、电子秒表的功能介绍

电子秒表是一种较先进的电子计时器,目前国产的电子秒表一般都是利用石英振荡器的振荡频率作为时间基准,采用6位液晶数字显示时间。电子秒表的使用功能比机械秒表要多,它不仅能显示分、秒,还能显示时、日、月及星期,并且有1/l00s的功能。一般的电子秒表连续累计时间为59min 59.99s,可读到1/l00s,平均日差±0.5s。电子秒表配有三个按钮,如图所示。图中为秒表按钮,为功能变换按钮,为调整按钮,基本显示的计时状态为“时”、“分”、“秒”。

电子秒表是重要的记时工具,广泛运用于各行各业中。它可广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合.测定短时间间隔的仪表。作为一种测量工具,电子秒表相对其它一般的记时工具具有便捷、准确、可比性高等优点,不仅可以提高精确度,而且可以大大减轻操作人员的负担,降低错误率。

二、电子秒表的硬件电路设计

(一)方案设计

数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。

本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。

本设计利用STC89C51单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD 显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。

图1.1 数字秒表硬件电路基本原理图

(二)硬件设计

本系统中,硬件电路主要有电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等。

1.单片机简介

本系统设计采用C51系列单片机。

ST89C51是一种带4K 字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS8位微处理器。该器件采用ATMEL 高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容(由于在微机原理中学过C-51的具体知识,这里不再详细说明)。由于将多功能8位CPU 和闪烁存储器组合在单个芯片中,ST89C51是一种高效的微控制器。

2.电源电路

电源电路是系统最基本的部分,任何电路都离不开电源部分,由于三端集成稳压器件所组成的稳压电源线路简单,性能稳定,工作可靠,调整方便,已逐渐取代分立元件,在生产中被广泛采用,由于是小系统,我们采用7809电源提供+5V 稳压电压。

3.晶体振荡电路

MCS--51单片机内部的振荡电路是一个高增益反相放大器,引线 XTAL1和

AT89C51

单片机控制器

复位电路

开关电路

LCD 显示

XTAL2分别为反向振荡放大器的输入及内部时钟工作电路的输入和来自反向振荡器的输出,该反向放大器可以配置为片内振荡器。

这里,我们选用51单片机12MHZ 的内部振荡方式,电路如下:电容器C1,C2起稳定振荡频率,快速起振的作用,C1和C2可在20-100PF 之间取,这里取30P ,接线时要使晶体振荡器X1尽可能接近单片机。

XTAL2

18

XTAL1

19

9

U1

X1

CRYSTAL

C1

22PF

C2

22PF C3

图2 晶体振荡电路

4.复位电路

采用上电+按键复位电路,上电后,由于电容充电,使RST 持续一段高电平时间。当单片机已在运行之中时,按下复位键也能使用使RST 持续一段时间的高电平,从而实现上电加开关复位的操作。这不仅能使单片机复位,而且还能使

单片机的外围芯片也同时复位。当程序出现错误时,可以随时使电路复位。 电路图如下:

图3 复位电路

5.显示电路

显示电路既可以选用液晶显示器,也可以选用数码管显示。我们采用的是数码管显示电路。用2个共阳极LED 显示,LED 是七段式显示器,内部有7个条形发光二极管和1个小圆点发光二极管组成,根据各管的亮暗组合成字符。

在用数码管显示时,我们有静态和动态两种选择,静态显示程序简单,显示稳定,但是占用端口比较多;动态显示所使用的端口比较少,可以节省单片机的

I/O 口。

在设计中,我们采用LED 动态显示,用P0口驱动显示。由于P0口的输出级是开漏电路,用它驱动时需要外接上拉电阻才能输出高电平。

电路图如下所示:

图4 显示电路

6.键盘电路

在按键电路中,我们可以在I/O 口上直接接按键,或者通过I/O 口设计一个键盘,然后通过键盘扫描程序判断是否有键按下等。键盘扫描电路节省I/O 口,但编程有些复杂,在这里,由于我们所用的按键较少,且系统是一个小系统,有足够的I/O 口可以使用,为了使程序简化,我们采用按键电路,用部分P3口做开关,P3.3为开始停止,P3.4为清零,用外部中断INT1开始,另外用软件法消除抖动。电路图如下所示:

54

P3.0/RXD 10P3.1/TXD 11P3.2/INT012P3.3/INT113P3.4/T 01416P3.5/T 1

15P2.7/A15

28

P2.6/A14

图5 键盘电路

硬件主电路图设计

用pretues 画出其硬件主电路图如下:

6

6

5544XTAL2

18

XTAL1

19

ALE 30EA

31

PSEN 29RST

9

P0.0/AD039P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD435P0.5/AD534P0.6/AD633P0.7/AD732P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.78

P3.0/RXD 10P3.1/TXD 11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD

17P3.6/WR 16P3.5/T115P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U1

AT89C51

X1

CRYSTAL

C1

22PF

C2

22PF C3

1nF

R1

100

D 7

14

D 613D 512D 411D 310D 29D 18D 07

E 6R W 5R S 4V S S 1V D D 2V E E

3

LCD1

LM016L

23456789

1RP1

10K

三、电子秒表的软件程序设计

1、软件设计概述

在软件设计中,一般采用模块化的程序设计方法,它具有明显的优点。把一个多功能的复杂的程序划分为若干个简单的、功能单一的程序模块,有利于程序的设计和调试,有利于程序的优化和分工,提高了程序的阅读性和可靠性,使程序的结构层次一目了然。

应用系统的程序由包含多个模块的主程序和各种子程序组成。各程序模块都要完成一个明确的任务,实现某个具体的功能,在具体需要时调用相应的模块即可。

功能描述:用LCD1602液晶显示"秒表",显示时间为0.00.00—4.59.99秒,每秒自动加1;一个"开始""暂停"键,一个"清零"键。 2、主程序流程图

这里采用顺序结构,通过对按键的扫描,判断要实现什么功能。如下所示:

(程序)

; 定义计时单元地址

MIN EQU 31H ;存放分钟变量 SEC EQU 32H ;存放秒钟变量

DEDA EQU 33H ;存放10ms 计数值 ; 按键端口状况值

K1_N EQU 34H ;存放按键当前端口状况值 K1_P EQU 35H ;存放按键上次端口状况值 K1_C EQU 37H ;存放按键计数单元 X EQU 36H ;LCD 地址变量 ; 按键引脚定义

K1 EQU P3.3 ;按键1引脚定义 K2 EQU P3.4 ;按键2引脚定义 ;LCD 引脚定义

RS EQU P3.5 ;LCD RS 引脚定义 RW EQU P3.6 ;LCD RW 引脚定义

赋初始值

开始

LCD1602显示

复位键P3.3是否按下

查看键P3.3是否二次按下

调用最终缓存区数据进行显示

查看键P3.4是否按下

LCD 清零

E EQU P3.7 ;LCD RS引脚定义

ORG 0000H ;程序由地址0开始执行

JMP MAIN

ORG 0BH ;定时器0中断地址设置

JMP T0_INT

;―――――――――――――主程序――――――――――――――MAIN: ;开始

MOV SP,#60H ;堆栈指针指向60H

CLR E ;E=0,禁止读/写LCD

ACALL SET_LCD ;调LCD控制子程序

ACALL INIT ;初始化变量

MOV K1_P,#01H ;按键上次端口设置1

ACALL INIT_TIMER ;调用初始化定时器

ACALL MEU ;调用工作菜单子程序

LOOP:ACALL CONV ;时间计数处理

ACALL LOOP1 ;调用清零键子程序

ACALL KEY ;判断是否有键按下

JZ LOOP ;无键按下转LOOP

MOV K1_P,K1_N ;交换数据

ACALL KEY0 ;调用按键功能子程序

JMP LOOP ;跳LOOP处循环

; 初始变量清零子程序

INIT: ;初始变量清零

CLR A ;A清为零

MOV K1_C,A ;K1_C 初始为0

MOV DEDA,A ;百分秒DEDA初始为0

MOV SEC,A ;秒SEC初始为0

MOV MIN,A ;分MIN初始为0

MOV K1_N,A ;K1_N初始为0

MOV K1_P,A ;K1_P初始为0

CLR TR0 ;启动中断

RET

;―――――――――――――――――――――

;定时器初始化设置子程序

INIT_TIMER: ;定时器初始化

MOV TMOD,#00000001B ;定时器0模式1

MOV IE, #10000010B ;开通中断

MOV TL0,#LOW(65536-10000);定时初值装入低位

MOV TH0,#HIGH(65536-10000);定时初值装入高位

RET

;中断服务程序

T0_INT: ;定时器T0中断程序

PUSH ACC ;入栈保护

MOV TL0,#LOW(65536-10000) ;重加载

MOV TH0,#HIGH(65536-10000)

INC DEDA

MOV A,DEDA ;10ms 计数值加1

CJNE A,#100,TT

MOV DEDA,#0

INC SEC ;秒加1

MOV A,SEC

CJNE A,#60,TT

INC MIN ;分加1

MOV SEC,#0

MOV A,MIN

CJNE A,#05,TT

MOV DEDA,#0 ;百、分、秒单元清0

MOV SEC,#0

MOV MIN,#0

TT: POP ACC ;出栈

RETI ;中断程序返回;―――――――――――――――――――――

;判断键是否按下子程序

LOOP1:

JB K2,LOOP2 ;判清零键是否按下

JMP MAIN ;跳转主程序处

LOOP2: RET

; 判断K1键是否按下

KEY:

CLR A ;A清零

MOV K1_N,A ;A值送入K1_N

MOV C,K1 ;K1值送入C

RLC A ;同进位标志左移一位

ORL K1_N,A ;两个位作逻辑OR运算

MOV A,K1_N ;K1_N值送入A

XRL A,K1_P ;有键按下,A 中内容不为零RET

;功能键子程序

;K1键第一次按功能子程序

KEY0:

MOV A, K1_P ;K1_P值送入A

JB ACC.0,KEY3 ;A的0位是1,转KEY3

INC K1_C ;K1_C加1

MOV A, K1_C ;K1_C值送入A

CJNE A,#01H,KEY1 ;K1键是否第一次按?

MOV DPTR,#MENU1 ;是,存入MENU1信息

MOV A,#1 ;设置第一行显示

CALL LCD_PRINT ;调用显示字符子程序

SETB TR0 ;启动中断

RET

;K1键第二次按功能子程序

KEY1:

MOV A,K1_C ;K1_C值送入A

CJNE A,#02H,KEY2 ;K1键是否第二次按?

MOV DPTR,#MENU2 ;是,存入MENU2信息

MOV A,#1 ;设置第一行显示

CALL LCD_PRINT ;调用显示字符子程序

CLR TR0 ;停止中断

RET ;

;K1键第三次按功能子程序

KEY2:

MOV A, K1_C ;K1_C值送入A

CJNE A, #03H,KEY3 ;K1键是否第三次按?

MOV DPTR,#MENU3 ;是,存入MENU3信息

MOV A, #1 ;设置第一行显示

CALL LCD_PRINT ;调用显示字符子程序

SETB TR0 ;启动中断

RET

;K1键第四按功能子程序

KEY3:

MOV A,K1_C ;K1_C值送入A

CJNE A,#04H,KEY4 ;K1键是否第四次按?

MOV DPTR,#MENU4 ;是,存入MENU4信息

MOV A,#1 ;设置第一行显示

CALL LCD_PRINT ;调用显示字符子程序

CLR TR0 ;启动中断

KEY4:

RET ;子程序返回;―――――――――――――LCD显示――――――――――――――; LCD控制子程序

SET_LCD: ;

CLR E

ACALL INIT_LCD ;初始化LCD

MOV R5,#10

ACALL DELAY

MOV DPTR,#LMESS1 ;指针指到显示消息1

MOV A,#1 ;显示在第一行

ACALL LCD_PRINT ;调用显示字符子程序

MOV DPTR,#LMESS2 ;指针指到显示消息2

MOV A,#2 ;显示在第二行

ACALL LCD_PRINT ;调用显示字符子程序

RET

LMESS1: DB " ",0 ;LCD 第一行显示消息LMESS2: DB "TIME ",0 ;LCD 第二行显示消息

;LCD初始化子程序

INIT_LCD:

MOV A,#38H ;设置8位、2行、5x7点阵

ACALL WR_COMM ; 调用写指令子程序

ACALL DELAY1 ;调用延时子程序

MOV A,#0CH ;开显示,光标不闪烁

ACALL WR_COMM ;调用写指令子程序;

ACALL DELAY1 ;调用延时子程序

MOV A,#01H ;清除LCD 显示屏

ACALL WR_COMM ;调用写指令子程序;

ACALL DELAY1 ;调用延时子程序

RET

;写指令子程序

WR_COMM:

MOV P1,A

CLR RS ;RS=0,选择指令寄存器

CLR RW ;RW=0,选择写模式

SETB E ;E=1,允许读/写LCM

ACALL DELAY1 ;调用延时子程序

CLR E ;E=0,禁止读/写LCM

RET

;写数据子程序

WR_DA TA:

MOV P1,A

SETB RS ;RS=1,选择数据寄存器

CLR RW ;RW=0,选择写模式

SETB E ;E=1,允许读/写LCD

ACALL DE ;调用延时子程序

CLR E ;E=0,禁止读/写LCD

ACALL DE ;调用延时子程序

RET

;清除该行LCD 的字符

CLR_LINE: MOV R0,#24

CL1: MOV A,#' '

ACALL WR_DATA

DJNZ R0,CL1

RET

;LCD 存入工作菜单

MEU:

MOV DPTR,#MENU0 ;存入工作菜单

MOV A,#1 ;第一行

CALL LCD_PRINT

RET

; 工作菜单

MENU0: DB " SECOND-CLOCK 0 ",0

MENU1: DB " BEGIN COUNT 1 ",0

MENU2: DB " PAUST COUNT 2 ",0

MENU3: DB " BEGIN COUNT 3 ",0

MENU4: DB " PAUST COUNT 4 ",0

;菜单显示子程序

;一行、二行显示字符

LCD_PRINT:

CJNE A,#1,LINE2 ;判断是否为第一行

LINE1:

ACALL CLR_LINE ;清除该行字符数据

MOV A, #80H ;设置LCD 的第一行地址

ACALL WR_COMM ;写入命令

JMP FILL

LINE2:

ACALL CLR_LINE ;清除该行字符数据

MOV A,#0C0H ;设置LCD 的第二行地址ACALL WR_COMM

FILL: CLR A ;填入字符

MOVC A,@A+DPTR ;由消息区取出字符

CJNE A,#0,LC1 ;判断是否为结束码

RET

;写入数据

LC1: ACALL WR_DATA

INC DPTR ;指针加1

JMP FILL ;继续填入字符

RET

;转换数据子程

CONV: ;转换为ASCII 码并显示

MOV X,#5 ;设置位置

ACALL SKOW_LINE2 ;显示数据

INC X ;

MOV A,#':' ;

MOV B,X ;

ACALL LCDP2 ;

MOV A,MIN ;加载分钟数据

INC X ;设置位置

ACALL SKOW_LINE2 ;显示数据

INC X ;

MOV A,#':' ;

MOV B,X ;

ACALL LCDP2 ;

MOV A,SEC ;加载秒数数据

INC X ;设置位置

ACALL SKOW_LINE2 ;显示数据

INC X ;

MOV A,#':' ;

MOV B,X ;

CALL LCDP2 ;

MOV A,DEDA ;加载秒数数据

INC X ;设置位置

ACALL SKOW_LINE2 ;显示数据

RET ;

;在LCD 的第二行显示数字

SKOW_LINE2:

MOV B,#10 ;设置被除数

DIV AB ;结果A存商数,B存余数

ADD A,#30H ;A为十位数,转换为字符

PUSH B ;B放入堆栈暂存

MOV B,X ;设置LCD 显示的位置

ACALL LCDP2 ;由LCD 显示出来

POP B ;出栈

MOV A,B ;B为个位数

ADD A,#30H ;转换为字符

INC X ;LCD 显示位置加1

MOV B,X ;设置LCD 显示的位置

ACALL LCDP2 ;由LCD 显示出来

RET

;在LCD的第二行显示字符

LCDP2:

PUSH ACC ;

MOV A,B ;设置显示地址

ADD A,#0C0H ;设置LCD的第二行地址

CALL WR_COMM ;写入命令

POP ACC ;由堆栈取出A

CALL WR_DATA ;写入数据

RET

;延时10MS

DELAY:

MOV R6,#50

D1:MOV R7,#100

DJNZ R7,$

DJNZ R6,D1

DJNZ R5,DELAY

RET ;延时5MS 子程序

DELAY1: MOV R6,#25 DEY: MOV R7,#100 DJNZ R7,$ DJNZ R6,DEY

RET ;延时500微秒

DE: DJNZ R7,$

RET END ;程序结束

6

6

5544XTAL2

18

XTAL1

19

ALE 30EA

31

PSEN 29RST

9

P0.0/AD039P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD435P0.5/AD534P0.6/AD633P0.7/AD732P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.78

P3.0/RXD 10P3.1/TXD 11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD

17P3.6/WR 16P3.5/T115P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U1

AT89C51

X1

CRYSTAL

C1

22PF

C2

22PF C3

1nF

R1

100

D 7

14

D 613D 512D 411D 310D 29D 18D 07

E 6R W 5R S 4V S S 1V D D 2V E E

3

LCD1

LM016L

234567891RP1

10K

四、心得体会

先在网上查阅了一些有关电子秒表设计的材料,熟悉了设计思想与工作原理,并且具体的分析了单片机、数码管显示器的工作过程,从理论上分析了该工作过程。在理解了该系统的工作原理并完成电路图的设计,由于我们没有设计经验,通过查阅一些资料,我们的设计渐渐有了头绪,基本确立设计方案和流程图。

通过这次设计,我学到了很多知识,将学习的理论知识通过实验融会贯通,让我对它的理解更加深刻。由于这次课程设计不仅设计编程方面的知识,还涉及了其它学科的知识,例如PROTEUS等的基本知识。总之,通过这次课程设计,不仅加深了我对单片机理论方面的理解,将理论更好的运用的实践方面,而且锻炼了我们各方面的能力,培养了坚强的毅力和做事的耐心和细心,我想这会为今后自己踏上工作岗位、打下良好的基础。

电子秒表使用说明

电子秒表使用说明 Company number:【0089WT-8898YT-W8CCB-BUUT-202108】

正品深圳君斯达JS-601金属秒表运动秒表2道记忆1/100秒萤幕显示 双道记忆、1/100秒萤幕显示 日历及时间显示(12/24小时转换) 定闹及整点报闹 金属外壳 LR44电池 Size:77×× 君斯达牌多功能系列秒表使用说明书 秒表计时: 按3号键直接秒表显示,如果秒表显示为零,按1号键停止计时,按2号键复位到零 1、秒表计时 按1号键开始计时,再按1号键停止计时(重复按1号键,重得开始/停止),按2号键复位到零 2、分段计时 按1号键开始计时,按2号键记下前段时间(注:秒表在计下前段时间时,表内

部仍在计时),再按2号键,在累加时间的基础上恢复走时(每次分段重复按2号键两次),按1号键复位到零 3、二段计时 按1号键开始计时,按2号键显示第一段时间;按1号键停止计时,按2号键显示第二段时间;再按2号键复位到零 二、时间,日历,响闹显示 按3号键直至显示正常走时,按1号键显示月,日和星期,按2号键显示响闹时间,同时按住1号键和2号键响闹取消/保持 三、设置时间和日历 在正常走时状态按3号键三次,正常走时闪烁,这样进入了时间设置方式,按1号键置(按住不动,快数置数),按2号键选择秒,分,时,日,月,星期 (A/P为12小时制,A为上午,P为下午,H为24小时制)作为调校对象,调校完毕,按3号键回到时间显示方式。 四、设置响闹 在正常走时关态按3号键两次,时和星期同时闪烁,这样进入响闹设置方式;按2号键先择分和小时,按1号键改变分和小时数字,按3号键回到时间显示。在正常走时关态,按住2号键,同时按1号键,定闹符号出现/消失,定闹取消/保持,同时按3号键,每小时报点符号,星期日至星期六,七个字符出现/消失,每小时报点保持/取消

数字电子秒表课程设计

西安航空职业技术学院 电子技术实践课程设计报告 课设题目:数字电子秒表 所属系部:电子工程系 指导老师: 作者: 专业:电子信息工程技术 西安航空职业技术学院制 西安航空职业技术学院 课程设计任务书 题目:数字电子秒表 任务与要求: 1、设计数字电子秒表原理图。 2、用6个数码管显示分、秒、毫秒。 3、计时误差不得超过1s;具有清零、启动计时、暂停计时及继续 计时等控制功能。 4、画出总体电路图。 5、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。 焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、 虚焊的现象。 6、调试电路。 时间:2010年11月29 日至 2010年12 月10 日共2周

所属系部:电子工程系 指导单位或教研室:电子信息教研室 西安航空职业技术学院制 摘要: 采用现代数字电路设计方法和EDA技术,即自顶向下的设计方法,应用protues开发平台进行设计并仿真验证和硬件测试。从总体设计框图开始,将设计任务逐步分解,直到可以用标准的集成电路部件实现,然后将各部件联结成系统,通过protues集成开发平台进行设计的分析综合和时序仿真验证。最后,在分析时序仿真结果的基础上,对设计进行进一步的修改和完善,已达到对设计电路正确运行且学会运用protues电路设计与仿真的目的。 关键词: 555定时器;LED;暂停计时 Abstract: Adopt modern digital circuit design method and EDA technique, namely the top-down design methods, application protues development platform design and simulation validation and hardware test. From the beginning, overall design diagram design task decomposed step by step, until can use standard of integrated circuit components, and then will realize connecting components into system, through protues integrated development platform design of comprehensive analysis and time-series simulation prove. Finally, by analyzing the timing simulation results, on the basis of design for further revised and perfected, reached the correct operation of circuit design and learn to use protues circuit design and simulation of purpose. Key words: 555 timing, Leds, Suspended timing 目录 1 设计方案的选择 (1) 2 总体框架设计 (2) 3 分步电路设计 (3) 3.1控制电路的设计 (3) (3) (3) 3.2数码管显示电路 (4)

电子秒表使用说明

正品深圳君斯达JS-601金属秒表运动秒表2道记忆1/100秒萤幕显示 双道记忆、1/100秒萤幕显示 日历及时间显示(12/24小时转换) 定闹及整点报闹 金属外壳 LR44电池 Size:77×× 君斯达牌多功能系列秒表使用说明书 秒表计时:

按3号键直接秒表显示,如果秒表显示为零,按1号键停止计时,按2号键复位到零 1、秒表计时 按1号键开始计时,再按1号键停止计时(重复按1号键,重得开始/停止),按2号键复位到零 2、分段计时 按1号键开始计时,按2号键记下前段时间(注:秒表在计下前段时间时,表内部仍在计时),再按2号键,在累加时间的基础上恢复走时(每次分段重复按2号键两次),按1号键复位到零 3、二段计时 按1号键开始计时,按2号键显示第一段时间;按1号键停止计时,按2 号键显示第二段时间;再按2号键复位到零 二、时间,日历,响闹显示 按3号键直至显示正常走时,按1号键显示月,日和星期,按2号键显示响闹时间,同时按住1号键和2号键响闹取消/保持 三、设置时间和日历 在正常走时状态按3号键三次,正常走时闪烁,这样进入了时间设置方式,按1号键置(按住不动,快数置数),按2号键选择秒,分,时,日,月,星期(A/P为12小时制,A为上午,P为下午,H为24小时制)作为调校对象,调校完毕,按3号键回到时间显示方式。 四、设置响闹 在正常走时关态按3号键两次,时和星期同时闪烁,这样进入响闹设置方式;按2号键先择分和小时,按1号键改变分和小时数字,按3号键回到时间显示。在正常走时关态,按住2号键,同时按1号键,定闹符号出现/消失,定闹取消/保持,同时按3号键,每小时报点符号,星期日至星期六,七个字符出现/消失,每小时报点保持/取消 五、电池更换 当显示变暗或无显示时,用十字的拧松表后壳螺丝,取下表后壳,(或用硬币拧出表后壳上的电池门),取出表内旧的扣式电池,装上一个同样型号或一个同样大小的扣式电池,然后装上表壳,拧紧表壳螺丝 六、注意事项

PC396电子秒表使用说明书

PC396 电子秒表使用说明书 一、秒表计时 按A键直至秒表显示,若秒表不为零。按B键停止计时,按C键复位到零。 简易计时:按B键开始计时;再按B键,停止计时;(重复按B键,重复开始/停止)停止计时后,按C键复位到零。 分段计时:按B键开始计时;按C键显示分段时间;(注意:内部计时持续)再按C键复位到计时;(重复按C键,显示分段时间或复位)复位到计时后,按B键停止计时;按C键复位到零。 两段时间显示;按B键开始计时;按C键显示第一分段时间;按B 键记忆第二分段时间;按C键显示第二分段时间;再按C键复位到零。 二、时间、日历、星期、响闹显示 按A键直至正常走时,按B键显示月、日、星期;按C键显示响闹时间,同时按住C键和B键,设置响闹取消或保持。 三、设置时间、日历 在正常走时状态,按A键三次,正常走时的秒及星期同时闪烁;这样进入了设置状态。按C键,选择秒、分、时、日、月、星期,按B 键置数,(按住键不放,出现快速置数)A/P显示为12小时制,A表示为上午,P表示为下午,H为24小时制,调校完毕,按A键回到时间显示状态。 四、设置响闹时间

在正常走时状态,按A 键两次,时和星期一同时闪烁,这样进入了响闹设置方式。按C 键选择时和分,按B 键改变分和时数字。按A 键回到正常时间显示。 五、自动重响 在响闹时,按B 键进入重响状态。即五分钟后,响闹可自动重响,按C 键可解除自动重响。 六、每小时报时 按A 键直至显示正常走时,按C 键后,同时按A 键,星期指示全部显示则有每小时报时,星期显示全部消失为无每小时报时。 七、注意事项 避免与腐蚀性物体接触;避免在温度过高或过低的环境下使用; 不要长时间在阳光下暴晒。电池不在保修范围内。 A 键 B 键 C 键 PC396电子秒表图

数字电子秒表课程设计报告

重庆机电职业技术学院课程设计说明书 设计名称:单片机原理设计 题目:数字电子秒表 学生姓名: X X 专业:电气自动化 班级: 1 班 学号: XXXXXXXXXXXXXXX 指导教师: X X X 日期: 2010 年 6 月 16 日

重庆机电职业技术学院 课程设计任务书 电气自动化专业2008 年级 1 班XX 一、设计题目 数字电子秒表设计 二、主要内容 利用独立式按键AN1(P0.0)启动定时器T0计时,AN2(P0.1)停止用于停止定 时器T0计时,使用2个八段数码管输出记时值,秒钟的计时时间范围在0~99秒内。 三、具体要求 3.1、实验电路连线 ①本实验中要把跳线JP1(板子右上角,LED灯正上方)跳到DIG上,J23(在黄色继电器右上方)接到右端;把跳线J9(紧贴51插座右方,蜂鸣器下方,RST复位键上方)跳到右端;把跳线J6跳到AN端,AN1(P0.0)~ AN4(P0.4),(J6在51插座右下方,4×4键盘左上方)。 3.2、实验说明 ①本实验中要将记时结果送2个数码管中显示,这可通过调用编写的显示子程序来实现,实现过程是:先将记时值一位一位的拆开,分别送到显示缓冲区(片内数据存储30H~35H设定为显示缓冲区用于存放段码数据, 其中32H~35H里面均存放0的段码0DFH)中去,然后调用显示子程序。②与定时器有关的寄存器有工作方式寄存器TMOD和控制寄存器TCON。TMOD用于设置定时器/计数器的工作方式0-3,并确定用于定时还是用于计数。TCON主要功能是为定时器在溢出时设定标志位,并控制定时器的运行或停止等。本实验中用定时器T0产生1秒钟基本时间单位,本系统fosc=11.0592MHz,当定时器T0工作在方式1(16位)时,最大定时时间为:216* 0.9216μs= 60397.9776μs;再利用软件记数,当T0中断17次时,所用时间为60397.9776*17=1026765.6192μs≈1s因此在T0中断处理程序中,要判断中断次数是否到17次,若不到17次,则只使中断次数加1,然后返回,若到了17次,则使电 子秒表记时值加1(十进制),请参考硬件实验四有关内容。③使用独立式按键 AN1(P0.0)~ AN2(P0.1)时要注意采用软件消抖动的方法,一般采用软件延时(10ms)的方法,即通过P0.0和P0.1的输入值的变化控制秒表的启动和停止。 3.3

单片机,电子秒表计时器

课程设计说明书 课程名称:《单片机技术》 设计题目:电子秒表计时器 院(部):电子信息与电气工程学院 学生姓名: 学号: 专业班级: 指导教师: 2013年5月17日

课程设计任务书

电子秒表计时器 摘要:本次设计主要完成具备基本功能的电子秒表的理论和实践设计,此计时器系统使用AT89C51单片机为中心器件,由电源电路、时钟电路、复位电路、显示电路组成。其中软件系统采用汇编语言编写程序,包括显示程序,定时中断服务,外部中断服务程序,延时程序等,硬件系统利用PROTEUS软件进行仿真,使得系统能够实现三个8位LED数码管显示,显示时间为0.0~99.9秒,能正确的进行计时,能同时进行五次计时,并能对所记录的时间进行查询,此系统易于仿真、制作简单且使用方便。 关键词:AT89C51、电子秒表、数码管显示、仿真

目录 1.设计背景 (1) 1.1单片机简介 (1) 1.2设计目的 (1) 2.设计方案 (1) 2.1方案一 (1) 2.2方案二 (1) 2.3综合比较 (2) 3.方案实施 (2) 3.1整体工作原理 (2) 3.2硬件系统设计 (3) 3.3软件程序设计 (5) 3.4系统仿真 (5) 3.5系统的制作过程 (6) 4.结果与结论 (7) 4.1结果 (7) 4.2结论 (7) 5.收获与致谢 (7) 6.参考文献 (7) 7.附件 (8)

1. 设计背景 1.1单片机简介 AT89C51是一种带4K字节闪烁可编程可擦除只读存储器的低电压、高性能CMOS8位微处理器,俗称单片机。单片机的可擦除只读存储器可以反复擦除100次。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的89C51是一种高效微控制器,89C2051是它的一种精简版本。89C单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 1.2设计目的 电子秒表是重要的记时工具,广泛运用于各行各业中。作为一种测量工具,电子秒表相对其它一般的记时工具具有便捷、准确、可比性高等优点。不仅可以提高精确度,而且可以大大减轻操作人员的负担,降低错误率。因此电子秒表常常用于体育竞赛及各种其他要求有较精确时间的各领域中。其中开启、停止按键的使用方法与传统的机械计时器相同,即按一下开启按键,启动计时器开始计时,按一下停止按键计时终止。而复位按键可以在任何情况下使用,即使在计时过程中,只要按一下复位按键,计时应立即终止,并对计时器清零。 2.设计方案 2.1方案一 静态显示,静态显示方式下的数码管的显示字符一经确定,相应锁存器锁存的断码输出將维持不变,直到送入另一个字符的断码为止。因而此设计中使用的显示位数使用了三个8位并行I/0口。这种方法的优点是占用CPU时间少,显示便于检测和控制。缺点是硬件电路比较复杂,成本较高。 2.2方案二 动态显示,这个显示方式是将所有显示位的段码线的相应段并联在一起,由一个8位I/O口控制,将所有位数码管的段选线并连在一起,由段选线控制哪一位数码管有效。选用数码管采用动态扫描显示。所谓动态扫描显示即轮流向各位数码管送出字形码和相应的位选,利用发光的余晖和人眼视觉暂留作用,使人的感觉好像各位数码管同时都在显示。动态显示的亮度比静态显示要差一些。

电子秒表课程设计报告

电子信息工程专业10级学生单片机原理课程设计任务书 电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、设计方案分析

1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H-33H中。其中31H存放分钟变量,32H存放秒钟变量,33H存放10ms计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD码数据的对应段码存放在ROM表中。显示时,先取出31H -33H某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 INT中断完成,定时溢出中断周期为1ms,当一处中断后向CPU 计时通过1 发出溢出中断请求,每发出一次中断请求就对毫秒计数单元进行加一,达到10次就对十毫秒位进行加一,依次类推,直到4.59.99秒重新复位。 再看按键的处理。这两个键可以采用中断的方法,也可以采用扫描的方法来识别。复位键主要功能在于数值复位,对于时间的要求不是很严格。而开始和停止键则是用于对时间的锁定,需要比较准确的控制。因此可以对复位按键采取扫描的方式。而对开始和停止键采用外部中断的方式。 设计中包括硬件电路的设计和系统程序的设计。其硬件电路主要有主控制 器,显示电路和回零、启动、查看、停表电路等。主控制器采用单片机STC89C52,

电子秒表操作规程

1.0目的 规范使用公司TA228电子秒表。 2.0范围 本规程适用于公司TA228电子秒表的使用指导。 3.0定义 无 4.0职责 TA228电子秒表使用者应根据作业指导书要求,确保操作过程正确;并负责仪器的维护和保养。 5.0程序 5.1电子秒表的使用方法: 5.1.1按动方式键M转换状态 5.1.2日期显示:正常走时状态,按S键,显示月、日 5.1.3闹时显示:正常走时状态,按R键,显示响闹时间 5.1.4响闹控制:正常走时状态,按住R键,显示响闹时间,此时按一下S键,右角出现,响闹。再按一下S键,消失,取消响闹。 5.1.5整点报时控制:正常走时状态时,按住R键,显示响闹时间,此时若按M键,星期标设显示,或消失,即可控制整点是否报时。 5.2秒表工作状态: 5.2.1单次时间间隔规定:按S键启动,再按S键停止,按R键清0,当被测试时间间隔<29′59.99″时,最小显示单位为1/100秒,当被测试时间间隔>29′59.99″时,最小显示单位为秒,最长可测间隔时间为23H59M59S。 5.2.2分段计时,按S键开始,再按R键停止,显示间隔时间;再按R键,这时显示出的时间表示,从开始到第二次按R键时的时间间隔,……如上下去,可测多段时间,复位方法,在按R键后,再按一下S键,再按一下R键即可复位。 5.3走时和闹时调整: 5.3.1走时调整:按M键至显示走时状态,按R键分别选择时、分、秒、日、月、星期闪动,按S键分别予以调整。 5.3.2闹时调整:按住M键至显示闹时状态,按R键选择时或分闪动,然后按S键调整好响闹时间 5.4使用注意事项: 5.4.1仪器不要受到撞击

可任意启动和停止的电子秒表的设计共9页

青岛农业大学 理学与信息科学学院 微机接口课程设计报告 设计题目可任意启动/停止的电子秒表的设计 学生专业班级 学生姓名(学号) 设计小组其他同学姓名(学号) 指导教师 完成时间 实习(设计)地点 2011年4月24日 可任意启动/停止的电子秒表的设计 一、课程设计目的和任务 <<微机原理与接口技术>>是一门实践性很强的电子信息工程专业的技术基础课程。因此,微机接口课程设计是一项实践性很强的实训环节,结合运用所学的汇编语言及8086微处理芯片设计一个电子秒表,通过实践能够加深对汇编语言的理解以及对8086微处理器、8253可编程定时器、8259A可编程中断控制器、8255A可编程并行I/O 接口芯片等的基本功能的认识。从而在设计过程中,提高学生的实践编程能力和硬件设计能力。也可以进一步巩固和融会贯通所学的汇编语言,并且可以培养学生查找资料的能力和自己分析问题解决问题的能力。 本实验利用8253可编程定时器等芯片的定时和记数的原理,结合实验箱上的集成电路芯片8086、LED数码管以及实验箱上的按键来设计秒表。将软、硬件有机地结合起来,要求实现计时单位为1/100秒,利用功能键进行启/停控制,上电后计时器清0,当第一次按下KEY1启/停键时开始计数,按一下KEY2键清零,重新开始计时,在系统能够正确地进行计时,使6位LED数码管能够正确地显示时间。 二、分析与设计 1.设计任务分析: 可任意启动/停止的电子秒表的实现用按键中断来控制整个程序,当按一下KEY1启动电子秒表,再按一下暂停,按一下KEY2键清零,用六个七段数码管显示时间。整个程序涉及到8255、8253和8259三个芯片。给8253的CLK0提供一个频率为10KHZ的时钟信号, 8253的OUT0连接8259的IRQ7,8253的GATE2连接正5伏电压,采用计数器0每隔0.01秒产生一次中断并且计数,写入以偏移地址4000H开始的6个内存单元,然后利用8255将内存单元的数据输出到七段数码管。由于按键中断优先于8259的7号中断,所以程序

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

电子秒表课程设计

电子秒表 摘要 电子秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。它从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。本次实验所做电子式秒表由信号发生系统和计时系统构成,并具有清零,暂停功能。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由74LS48构成,显示器由数码管构成。清零,暂停功能由RS触发器构成防抖动开关。具体过程为:由晶体震荡器产生100HZ脉冲信号先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫秒”依次在数码管显示出来。该秒表最大计时值为59分59.99秒,“10毫秒”为一百进制计数器组成,“分”和“秒”为六十进制计数器组成。 关键词:计时精度计数器显示器 Abstract Electronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second timer.It does not have mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared pause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the decimal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, 59.99 seconds, 10 milliseconds is the 150 binary counter, "minute" and "second" is the six decimal counter. Keyword:Timing accuracy counter display

电子秒表的使用与保养

电子秒表的使用与保养 秒表主要有机械和电子两大类,电子表又可分为三按键(图1)和四按键(图2)两大类。现在绝大部分体育教师使用的 多是电子秒表,机械秒表在很多地方已经 成为历史。电子秒表是一种较先进的电子 计时器,目前国产的电子秒表一般都是利 用石英振荡器的振荡频率作为时间基准, 采用6位液晶数字显示时间,具有显示直 观、读取方便、功能多等优点。笔者就电 子秒表的使用与保养谈几点感受(以四按 键电子秒表为例)。 一、学会电子表按键的基本操作(查阅秒表说明书) 1.记录一个时间:在计时器显示的情况下,按MODE键选择,即可出现秒表功能。按一下START/STOP按钮开始自动计秒,再按一下停止计秒,显示出所计数据。按LAP/RESET键,则自动复零。 2.记录多个时间:若要纪录多个物体同时出发,但不同时到达终点的运动,可采用多计时功能方式(具体可记录数量以表的说明书介绍为准)。即首先在秒表状态下按START/STOP开始,秒表开始自动计秒,待物体到达终点时按一下LAP/RESET,则显示不同物体的计秒数停止,并显示在屏幕上方。此时秒表仍在记录,内部电路仍在继续为后面的物体累积计秒。全部物体记录完成后正常停表,按RECALL可进入查看前面的记录情况,上下翻动可用START/STOP和 LAP/RESET两键。 3.时间、日期的调整:若需要进行时刻和日期的校正与调整,可按MODE键,待显示时、分、秒的计秒数字时,按住RECALL键2秒后见数字闪烁即可选择调整,直到显示出所需要调整的正确秒数时为止,再按下RECALL键。 二、使用注意事项 1.使用前一定要进行验表,主要看按键是否有问题,记录的时间是否准。 2.使用时,用拇指指关节或用食指第二指关节扣住按键,并将秒表靠住自己的胸部,不在任何的摆臂动作中完成按键。 3.按键时尽量用正确的角度和适合的力量,不要按在按钮的边缘或斜角度按,避免卡住或损坏按钮。 4.计时开启后不要将秒表挂在脖子上或放在口袋内跑动,防止跑的过程中秒表按键与身体相撞,造成意外的停止或开启。 5.要注意开表以烟为信号,停表时与终点线直对,不能斜看。 三、保养注意事项 1.保持电池的定期更换,一般在显示变暗时即可更换,不要等电子秒表的电池耗尽再更换。

电子秒表课程设计报告

西安郵電學院 控制系统课程设计报告书 系部名称:信息与控制系 学生姓名:XXX 专业名称:测控技术与仪器 班级:测控XXXX 2010年9月13日至 时间: 2010 年9月26日

电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、 设计方案分析 1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS 强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD 显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H -33H 中。其中31H 存放分钟变量,32H 存放秒钟变量,33H 存放10ms 计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD 码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD 码数据的对应段码存放在ROM 表中。显示时,先取出31H -33H 某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 计时通过1INT 中断完成,定时溢出中断周期为1ms ,当一处中断后向CPU

Multisim 电子秒表

电子秒表设计 1.实验目的: 学习数字电路中的基本RS触发器,单稳触发器,时钟发生器,译码显示电路等单元电路的综合应用。学习电子秒表的调试方法。 2.实验原理 图2-1为电子秒表的电原理图。按功能分成4个单元电路进行分析。 2.1 基本RS触发器 图2-1的单元Ⅰ部分为用集成与非门构成的基本RS触发器。它属低电平直接触发的触发器,有直接置位和复位的功能。 图2-1 电子秒表原理图 它的一路输出Q作为单稳态触发器的输入,另一路输出Q作为与非门5的输入控制信号。 按动按钮开关K 2到接地,则门一的输出Q=1;门2的输出Q=0,K 2 复位后Q、Q状态保 持不变。再按动按钮开关K 1 ,则Q由0变为1,门5开启,为计数器启动作好准备,Q由1变到0,送出负脉冲,启动单稳态触发器工作。 基本RS触发器在电子秒表中的职能是启动和停止秒表的工作。 2.2 单稳态触发器 图2-1的单元Ⅱ部分为用集成与非门构成的微分型单稳态触发器。单稳态触发器的输

入触发负脉冲信号V i 由基本RS触发器Q端提供,输出负脉冲V 通过非门加到计数器的清 除端R。 静态时,门4应处于截止状态,故电阻R必须小于门的开关电阻R off 。定时元件RC取值不同,输出脉冲宽度也不同。当触发脉冲宽度小于输出脉冲宽度时,可以省去输入微分 电路的R p 和C p 。 单稳态触发器在电子秒表中的职能是为计数器提供清零信号。 2.3 时钟触发器 图2-1的单元Ⅲ为由555定时器构成的多谐振荡器,是一种性能较好的时钟源。 调节电位R p ,使在输出端3获得频率为50Hz的矩形波信号。当基本RS触发器的Q=1 时,门5开启,此时,50Hz脉冲信号通过门5作为计数脉冲加于计数器74LS90(Ⅰ)的计 数输入端CP 2 。 2.4 计数及译码显示 二-五-十进制加法计数器74LS90构成电子秒表的计数单元。其中计数器①接成五进制 形式,对频率为50Hz的时钟脉冲进行五分频,在输出端Q D 取得周期为0.1秒的矩形脉冲,作为计数器②的时钟输入。计数器②及计数器③接成8421码十进制形式,其输出端与实验装置的译码显示单元的相应输出端连接,可显示0.1~0.9s和1~9.9s计时。 表2-1为74LS90引脚功能表。

电子秒表课程设计

湖南人文科技学院 课程设计报告 课程名称:电子技术课程设计 设计题目数字电子秒表 系别: 专业: 班级: 学生姓名: 学号: 起止日期: 指导教师: 教研室主任:

摘要 本次设计的数字电子秒表以555定时器为核心,由多谐振荡电路,计数译码显示电路,控制电路三大主要模块构成。由NE555定时器组成的多谐振荡电路通过控制阻值产生10Hz,1Hz的脉冲;输入由74LS192芯片组成的计数电路、74LS48组成的译码电路在数码管FJS5101显示器上输出,以上部分组成计数译码显示电路;通过控制电路实现复位,置数功能,灵活启动停止。电路是采用外接电源来实现的。经过仿真、布线、制板等工作,数字秒表成形。本组在此次设计过程中主要是先分析设计要求,根据提出的设计要求选取合适的芯片,再用multisim 10 画出电路图,进行仿真。再用Prote 2004 Sp2绘制原理图和PCB图,并把PCB图转印到印制板上完成焊接和调试等工作。最终完成数字电子秒表的工作。 关键词:NE555定时器;74LS192计数器;74LS48译码器;控制电路

目录 1、方案论证与对比 (1) 1.1 方案一 (1) 1.2方案二 (2) 1.3方案的对比与选择 (2) 2、数字电子秒表总体方案的分析与设计 (3) 2.1电子秒表电路总图 (3) 2.2控制电路 (4) 2.3 脉冲产生原理 (5) 2.4计数译码显示单元 (7) 2.4.1 计数器 (8) 2.4.2 译码器 (9) 2.4.3 七段显示数码管 (11) 3、调试与检测 (12) 3.1调试方法 (12) 3.2调试故障的原因与排除 (13) 3.3调试结果 (14) 4、总结与致谢 (14) 5、参考文献 (16) 6、附录 (17) 附录一元件清单 (17) 附录二总电路的PCB图 (17) 附录三总电路的仿真图 (18)

电子秒表操作规程

目的 规范使用公司TA228电子秒表。 范围 本规程适用于公司TA228电子秒表的使用指导。 定义 无 职责 TA228电子秒表使用者应根据作业指导书要求,确保操作过程正确;并负责仪器的维护和保养。 程序 电子秒表的使用方法: 5.1.1按动方式键M转换状态 5.1.2日期显示:正常走时状态,按S键,显示月、日 5.1.3闹时显示:正常走时状态,按R键,显示响闹时间 5.1.4响闹控制:正常走时状态,按住R键,显示响闹时间,此时按一下S键,右角出现,响闹。再按一下S键,消失,取消响闹。 5.1.5整点报时控制:正常走时状态时,按住R键,显示响闹时间,此时若按M键,星期标设显示,或消失,即可控制整点是否报时。 秒表工作状态: 5.2.1单次时间间隔规定:按S键启动,再按S键停止,按R键清0,当被测试时间间隔<29′″时,最小显示单位为1/100秒,当被测试时间间隔>29′″时,最小显示单位为秒,最长可测间隔时间为23H59M59S。 5.2.2分段计时,按S键开始,再按R键停止,显示间隔时间;再按R键,这时显示出的时间表示,从开始到第二次按R键时的时间间隔,……如上下去,可测多段时间,复位方法,在按R键后,再按一下S键,再按一下R键即可复位。 走时和闹时调整: 5.3.1走时调整:按M键至显示走时状态,按R键分别选择时、分、秒、日、月、星期闪动,按S键分别予以调整。 5.3.2闹时调整:按住M键至显示闹时状态,按R键选择时或分闪动,然后按S键调整好响闹时间 使用注意事项: 5.4.1仪器不要受到撞击 5.4.2避免水进入仪器中 流程图 无

相关文件 无 记录 无

电子秒表设计实验报告

淮阴工学院 《数字电子技术》课程实验期末考核 2014-2015学年第2学期实验名称:电子秒表电路的设计 班级: 学号: 姓名: 学院:电子与电气工程学院 专业:自动化 系别:自动化 指导教师:《数字电子技术》实验指导教师组成绩: 2015年07月

电子秒表电路的设计 一、实验目的 1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。 2 .学习电子秒表的调试方法。 二、实验原理 图11 -1 为电子秒表的电原理图。按功能分成四个单元电路进行分析。

1.基本RS 触发器 图11 -1 中单元I 为用集成与非门构成的基本RS 触发器。属低电平直接触发的触发器,有直接置位、复位的功能。 它的一路输出作为单稳态触发器的输入,另一路输出Q 作为与非门5 的输入控制信号。 按动按钮开关K 2(接地),则门1 输出=1 ;门2 输出Q =0 ,K 2 复位 后Q 、状态保持不变。再按动按钮开关K 1 , 则Q 由0 变为1 ,门5 开启, 为计数器启动作好准备。由1 变0 ,送出负脉冲,启动单稳态触发器工作。 基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。 2. 时钟发生器 图11 -1 中单元Ⅲ为用555 定时器构成的多谐振荡器,是一种性能较好的 时钟源。 调节电位器 R W ,使在输出端3 获得频率为50HZ 的矩形波信号,当基本RS 触发器Q =1 时,门5 开启,此时50HZ 脉冲信号通过门5 作为计数脉冲加于 计数器①的计数输入端CP 2 。

图11-2 单稳态触发器波形图图11-3 74LS90引脚排列 3.计数及译码显示 二—五—十进制加法计数器74LS90 构成电子秒表的计数单元,如图11 -1 中单元Ⅳ所示。其中计数器①接成五进制形式,对频率为50HZ 的时钟 取得周期为0.1S 的矩形脉冲,作为计数器②的脉冲进行五分频,在输出端Q D 时钟输入。计数器②及计数器③接成8421 码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1 ~0.9 秒;1 ~9 秒计时。 注:集成异步计数器74LS90 74LS90 是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。

电子秒表

电子秒表 概述 此电子秒表,是在TND-MD教学系统上实现的,其计时精确度为0.01s。所使用的芯片主要有8253定时计数器、8255A并行接口电路芯片、8259A中断控制器等。通过系统中的开关键KK1和KK2可实现开始计时,暂停计时,继续计时,清零功能,用系统中的四片七段数码管将计得的时间以XXXX(秒百分秒,其中秒占两位,百分秒两位)的形式显示给用户。计时准确度与现实时间相符。 一、设计要求 按一下kk1开关启动,再按暂停,按kk2清零,周而复始。最小计时单位0.01S,即可显示XXXX(秒百分之一秒)。设计要求如下: (1)由键盘和8255作为输入部分 (2)用七段数码管或液晶显示屏来显示时间 (3)由8253和8259构成计时信号,通过中断完成启动计时、停止计时和动态刷新显示。 二、设计思想 1、为了试验秒表的准确计时功能,此秒表精确到了0.01s,为了得到0.01s的时间脉冲,使用8253芯片来产生,又由于可以系统自动产生1.1932MHZ的时钟频率,因此可以通过设定计数初值为2E9c,并设定8253工作在方式3来获得所需的周期为10ms的时间脉冲 软件操作:首先向8253的控制端口43H输入控制字B6H来设置计数器2计时,工作在方式3,循环计数,产生10ms的时间脉冲,然后再像计数器2端口42H输入计数初值2e9cH,先写入低八位数据,再写入高八位数据 硬件操作:唐都仪器8253芯片的CLK接bus总线上的OPCLK系统时钟信号,频率为1.1932MHZ,GATE门信号接+5v电源,out引脚接8259芯片的IRQ7

2、为了驱动数码管,需使用8255芯片,通过设定控制字,用其PB端口来驱动段,PA 端口来驱动位, 软件操作:向8255的控制端口63H输入控制字81H,使其三个端口都工作在方式0,PA(60H)端口的低四位用来驱动数码的位,PB端口(61H)用来驱动数码管的段,然后用E命令重新开辟一块内存区域,用来存放数码管0-9的字模3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH 硬件操作:唐都仪器上的8255芯片的PA0~PA3引脚接数码管的X4~X1引脚,PB0~PB7引脚接数码管的0~DP引脚 3、为了能够实现系统的中断调用,需使用8259芯片的IRQ2,IRQ6,IRQ7引脚,其中断向量分别为:0AH,0EH,0FH把三个中断服务程序的的入口地址分别填入这三个中断向量所指向的内存地址,IRQ2接KK1控制秒表的启动和暂停,IRQ6接KK2控制秒表的复位 软件操作:IRQ2的中断向量乘以四得:0028H,然后向这个地址填入IRQ2服务程序的入口地址(mov [0028],IRQ2),然后向002a地址填入中断向量表的段地址:0000H,IRQ6的中断的首地址为:0038H,填入中断服务程序入口地址mov [0038],IRQ6,填入段地址mov [003a],0000H,IRQ7中断的首地址:003cH,填入中断服务程序入口地址:mov [003c],IRQ7,t 填入段地址:mov [003e],0000H.. 三、设计所用芯片及工作原理 1、8255芯片工作原理 接口电路在CPU和I/O设备之间起着信号的变换和传输的作用。任何接口电路与CPU 之间的信息传输方式都是并行的,即CPU与接口电路之间以数据字节/字为单位传送信息。接口电路与I/O设备之间的信息传送方式,有并行和串行两种,相应的接口电路称为并行接口和串行接口。8255将一个8位的输入输出双向数据端口扩展为端口A,端口B,端口C 3个八位I/O双向传输端口,另加一个控制端口,因而其内部含有4个端口寄存器,3个I/O 端口都有不同的工作方式,端口A可以工作在方式0,1,2;端口B只能工作在方式0,1;端口C工作在方式0,他们与I/O端口间的数据传输都是并行方式。 2、8259芯片工作原理 1) 外部事件触发中断控制器8259A的某个中断请求引脚IRQX,其状态锁存在中断 请求寄存器IRR中。 2) 8259A判定中断屏蔽寄存器IMR是否允许此中断请求,若允许则做优先权判定。 3) 若同时有几个中断源发出请求,8259A优先权判定电路会检测出当前优先级最高 的中断请求位,获取相应的中断向量值N,并置位芯片内部中断服务寄存器ISR 4) 8259A向CPU发中断请求信号INTR 5) 如果CPU标志寄存器FLAGS中的中断允许标志位I置位,则CPU执行完当前 一条指令后响应该中断并送出应答信号INTA。 6) 中断控制器8259A收到该应答信号后立即将对应该中断源的中断向量号N送到系 统数据总线上。 7) CPU读入中断向量号N后查找中断向量表既可以转向相应的中断服务程序入口 地址开始执行中断事务处理。

相关文档