文档库 最新最全的文档下载
当前位置:文档库 › 电子技术基础2002 2004

电子技术基础2002 2004

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

现代电力电子技术作业

三相桥式SPWM逆变电路仿真 一、设计的技术指标: 直流母线电压输入:650V; 输出三相交流相电压:220V; 调制方式:SPWM; 频率调制比:N=5; 幅值调制比为:0.8; 二、工作原理 三相桥式逆变电路如图所示,图中应用V1-V6作为逆变开关,也可用其它全控型器件构成逆变器,若用晶闸管时,还应有强迫换流电路。 从电路结构上看,如果把三相负载看成三相整流变压器的三个绕组,那么三相桥式逆变电路犹如三相桥式可控整流电路与三相二极管整流电路的反并联,其中可控电路用来实现直流到交流的逆变,不可控电路为感性负载电流提供续流回路,完成无功能量的续流和反馈,因此VD1~VD6称为续流二极管或反馈二极管。 在三相桥式逆变电路中,各管的导通次序同整流电路一样,也是T1、T2、T3……T6、T1……各管的触发信号依次互差60?。根据各管的导通时间可以分为180?导通型和120?导通型两种工作方式,在180?导通型的逆变电路中,任意瞬间都有三只管子导通,各管导通时间为180?,同一桥臂中上下两只管子轮流导通,称为互补管。在120?导通型逆变电路中,各管导通120?,任意瞬间只有不同相的两只管子导通,同一桥臂中的两只管子不是瞬时互补导通,而是有60?的间隙时间,当某相中没有逆变管导通时,其感性电流经该相中的二极管流通。

上图中的uao`、ubo`与uco`是逆变器输出端a、b、c分别与直流电源中点o`之间的电压,o`点与负载的零点o并不一定是等电位的,uao`等并不代表负载上的相电压。令负载零点o与直流电源中点o`之间的电压为uoo`,则负载各相的相电压分别为 (3-1) 将式(3-1)中各式相加并整理后得 一般负载三相对称,则uao+ubo+uco=0,故有 (3-2) 由此可求得a相负载电压为 (3-3) 在图3.3中绘出了相应的负载a相电压波形,ubo和uco波形与此相似。 三、仿真电路图

电力电子技术基础参考资料(doc 10页)

电力电子技术基础参考资料(doc 10页)

思考题与习题 1. 独立思考以下各小题,分别从“SCR、GTO、GTR、功率MOSEFT和IGBT”中选择合适的词填写在各小题的括号里。 (1)()是半控器件,()和()是全控器件。 (2)()和()所需驱动电路的静态功耗接近于0。 (3)如果希望导通电流为15A时,器件主回路的导通压降小于220mV,则应选用()作为主开关器件。 (4)除功率MOSFET外,()的输入特性与功率MOSFET的输入特性类似。 (5)()在导通电流为500A条件下,为了将它关断,它的控制极所需反向关断电流之峰值的绝对值需超过100A。 (6)()的输入特性与双极型三极管的输入特性类似。 (7)如果希望制做一个升压型DC-DC变换电路,将450V 直流电源升高为650V直流电源,最大输出电流为200A,斩波频率为15KHz,则应选用()作为主开关器件。 (8)()如果已经导通,在主回路电流大于10A条件下,即使控制信号变为负值,它也不能关断。 2. 分析比较SCR(普通晶闸管)、双向SCR(双向晶闸管)、GTO (可 关断晶闸管)、GTR(电力双极型晶体管)、功率MOSFET和IGBT

(9)当U IN变化20%时,哪几种整流电路输出电压平均值的变化可小于3%? (10)哪几种整流电路的功率因数低? (11)哪几种整流电路的对交流输入电源造成的干扰小? (12)如果在整流电路的输出与R L之间串接平波电感L,并希望在I RL达100A时R L两端电压的纹波因数小于1%,问:选用哪种整流电路所需L的电感量最小? 6. 单相桥式二极管整流电路的交流输入电压有效值为220V,分别计算下列两种不同负载条件下整流输出电压的平均值U d、负载电流的平均值I d、每只整流二极管电流的平均值I DT 和有效值I T: (1)负载为纯阻性,R=10Ω。 (2)负载为电阻与电感相串联,R=10Ω,L可视为无穷大。 7. 由晶闸管构成的单相桥式全控整流电路的交流输入电压之有效值为100V,负载R=2Ω,L可视为无穷大,反电动势E=50V。试求α=30°时整流输出电流的平均值I d、每只晶闸管电流的平均值I dT和有效值I T。 8. 设晶闸管三相桥式可控整流电路输出带阻感负载,R=10Ω,L可视为∞?,它的三相交流输入线电压之有效值和全控整流输出电压之平均值分别为U lL和U d,U lL随电网电压波动的变化范围是320V至420V,晶闸管的导通压降可视为0,试求:

电工电子技术基础复习提纲

电工电子技术基础复习提纲 一、单项选择题(四选一) 1、一个理想独立电压源的基本特性是 : 答(A ) A .其两端电压与流过的电流无关 ;流过的电流可为任意值 ,由外电路即可确定 B .其两端电压与流过的电流有关 ;流过的电流不为任何值 ,由电压源即可确定 C. 其两端电压与流过的电流无关 ;电流必定由电压源正极流出 ,可为任意值 D. 其两端电压与流过的电流有关 ;电流未必由电压源正极流出 ,可为任意值 2、 电路如图所示 , 支路电流 I AB 与支路电压 U AB 分别应为 : 答 (C ) A. 05. A 与15.V B. 0A 与1V C. 0 A 与 1 V D. 1A 与0V 20 2 4V 1 A 1 40 30 1 1 1V 1 1A 50V 45V 1V a B 10 (第 2题) (第 3题) 3、图示电路中, a 点对地的电压 U a 应为 : 答 ( D ) A. -49 V B. -47 V C. -54V D. -51 V 4、图示二端电路中与理想电压源并联的电阻 R A. 对端口电压有影响 B. 对端口电流有影响 C. 对 U S 支路的电流有影响 D. 对端口电压与端口电流均有影响 答( C ) 5、图( a )电路中, U S 20V ,R 1 900 , R 2 1100 ,稳压管的伏安特性曲线如图(b ),则其工作点应是: 答( C ) A. u 10V , i 0m A B. u 10V , i 10m A C. u 10V , i 5mA D. u 0V , i 10mA i / mA U S R 1 10 5 a i u / V + R 2 u 5 U S R _ 10 b (a) ( b) (第 4 题) (第 5 题)

中等职业学校电子技术基础教学大纲

中等职业学校 《电子技术基础与技能》 教学大纲 适用专业:电子技术应用、电子信息技术、机电技术等相关专业 国家示范性职业学校数字化资源共建共享计划 《电子技术应用》精品课程资源建设项目 组长单位:江苏省如皋中等专业学校 修订日期:2012年4月

一、课程性质与任务 本课程是中等职业学校电类专业的一门基础课程。其任务是:使学生掌握电子信息类、电气电力类等专业必备的电子技术基础知识和基本技能,具有分析和处理生产与生活中一般电子问题的基本能力,具备继续学习后续电类专业技能课程的基本学习能力,为获得相应的职业资格证书打下基础。培养学生的职业道德与职业意识,提高学生的综合素质与职业能力,增强学生适应职业变化的能力,为学生职业生涯的发展奠定基础。 二、课程教学目标 1.专业能力目标 (1)初步具有查阅电子元器件手册并合理选用元器件的能力。 (2)会使用常用电子仪器仪表。 (3)了解电子技术基本单元电路的组成、工作原理及典型应用。 (4)初步具有识读电路图、简单电路印制板和分析常见电子电路的能力。 (5)具有制作和调试常用电子电路及排除简单故障的能力。 (6)掌握电子技能实训的安全操作规范,形成安全生产、规范操作的意识。 2.方法能力目标 (1)了解电子技术的认知方法,培养学习兴趣,形成正确的学习方法,有一定的自主学习能力。 (2)具有资料查阅和信息处理能力,具有一定的交流、分析、解决问题的能力。 (3)通过参加电子实践活动,培养运用电子技术知识和工程应用方法解决与生产和生活相关电子问题的能力,形成良好的工作方法与工作作风。 3.社会能力目标 (1)树立辩证唯物主义观点,形成实事求是的科学态度和良好的职业道德,具有创新精神与团队合作精神。 (2)树立节能环保、产品质量等职业意识。 三、教学内容结构 大纲采用模块式结构,教学内容由基础模块与选学模块构成,每个模块下又分为两个部分:模拟电子技术和数字电子技术。 1.基础模块是各专业学生必修的教学内容和应该达到的基本教学要求,建议安排84学时。 2.选学模块是适应不同专业需要,以及不同地域、学校、学制差异,满足学生个性发展的选学内容。选定后也为该专业的必修内容,建议至少选择12学时教学内容。 3.课程总学时数应至少保证96学时。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

现代电力电子技术的发展(精)

现代电力电子技术的发展 浙江大学电气工程学院电气工程及其自动化992班马玥 (浙江杭州310027 E-mail: yeair@https://www.wendangku.net/doc/3a1496482.html,学号:3991001053 摘要:本文简要回顾电力电子技术的发展,阐述了现代电力电子技术发展的趋势,论述了走向信息时代的电力电子技术和器件的创新、应用,将对我国工业尤其是信息产业领域形成巨大的生产力,从而推动国民经济高速、高效可持续发展。 关键词:现代电力电子技术;应用;发展趋势 The Development of Modern Power Electronics Technique Ma Yue Electrical Engineering College. Zhejiang University. Hangzhou 310027, China E-mail: yeair@https://www.wendangku.net/doc/3a1496482.html, Abstract: This paper reviews the development of power electronics technique, as well as its current situation and anticipated trend of development. Keywords: modern power electronics technique, application, development trend. 1、概述 自本世纪五十年代未第一只晶闸管问世以来,电力电子技术开始登上现代电气传动技术舞台,以此为基础开发的可控硅整流装臵,是电气传动领域的一次革命,使电能的变换和控制从旋转变流机组和静止离子变流器进入由电力电子器件构成的变流器时代,这标志着电力电子的诞生。

电工电子技术基础练习题

电工电子技术基础练习题(1) 1、固定偏置放大电路如图所示,已知U CC V =20,U BE .V =07,晶体管的电流放 大系数β=100,欲满足I C mA =2,U CE V =4的要求,试求电阻R B ,R C 的阻值。 T ++ R B R C u o u i +U CC C 1 C 2 +-+- 2、电 路 如 图 所 示, 已 知 晶 体 管 的β=60,r be k =1Ω,U BE =0.7 V , 试 求:(1) 静 态 工 作 点 IB ,IC ,UCE 。 +3kΩ270kΩ + C 1 C 2 u i u o R B1R C +12V 3DG6 + - + - 3、电 路 如 图 所 示, 已 知 晶 体 管β=100,计 算 电 路 的 静 态 值 I B , I C ,U CE 。 4、如图:若U CC =6V ,R C =2k Ω,R B =200 k Ω, β=50,用估算法计算其静态工作点。 5、晶体管放大电路如下图所示: 已知β =100,r b e =1k Ω,Vcc=24V ,Rc

=3k Ω,R b =600k Ω,RL =1.5k Ω,试近似计算:放大电路的输入电阻R i ;输出电阻Ro ;电压放大倍数A u 。 6、放大电路如图所示,晶体管的电流放大系数β=50, U BE .V =06 ,R B1=110 k Ω,R B2=10 k Ω,RC=6k Ω,RE =400Ω,RL =6 k Ω,求:(1) 计算静态工作点;(2)画出微变等效电路; +R B1 R B2 R C R E R L u o u i + C 2 C 1+12V C B E + - +- 7、电 路 如 图所示,已知β=50,r be k =1 Ω,要求:(1) 画出两个电 路 的 微 变 等 效 电 路 ;(2) 计算输入电阻,输出电 阻。 8、单相半波整流电路中,U1为变压器原边电压,U2为变压器副边电压,U O 为负载电阻R L 上的电压,若U1是400V ,U1和U2线圈扎数比是20:1,计算U O 9、单相桥式整流电路中,U1为变压器原边电压,U2为变压器副边电压,

中职电子技术教案课件教材资料

项目一半导体的基础知识 一、半导体: 1、半导体的导电性介于导体与绝缘体之间。 2、导体: 3、绝缘体 二、本征半导体 1、本征半导体:纯净晶体结构的半导体我们称之为本征半导体。常用的半导体材料有:硅和锗。它们都是四价元素,原子结构的最外层轨道上有四个价电子,当把硅或锗制成晶体时,它们是靠的作用而紧密联系在一起。 2、空穴:共价键中的一些价电子由于热运动获得一些能量,从而摆脱共价键的约束成为自由 电子,同时在共价键上留下空位,我们称这些空位为空穴,它带正电。 3、空穴电流: 在外电场作用下,自由电子产生定向移动,形成电子电流;同时价电子也按一定的方向一次填补空穴,从而使空穴产生定向移动,形成空穴电流。在晶体中存在两种载流子,即带负电自由电子和带正电空穴,它们是成对出现的。 三:杂质半导体 1、杂质半导体:在本征半导体中两种载流子的浓度很低,因此导电性很差。我们向晶体中有控制的掺入特定的杂质来改变它的导电性,这种半导体被称为杂质半导体。 1).N型半导体 在本征半导体中,掺入5价元素,使晶体中某些原子被杂质原子所代替,因为杂质原子最外层有5个价电子,它与周围原子形成共价键后,还多余一个自由电子,因此使其中的空穴的浓度远小于自由电子的浓度。但是,电子的浓度与空穴的浓度的乘积是一个常数,与掺杂无关。 在N型半导体中自由电子是多数载流子,空穴是少数载流子。 2).P型半导体 在本征半导体中,掺入3价元素,晶体中的某些原子被杂质原子代替,但是杂质原子的最外层只有3个价电子,它与周围的原子形成共价键后,还多余一个空穴,因此使其中的空穴浓

度远大于自由电子的浓度。在P型半导体中,自由电子是少数载流子,空穴使多数载流子。 四、PN结 一、PN结基础知识 1、 PN结:我们通过现代工艺,把一块本征半导体的一边形成P型半导体,另一边形成N型半导体,于是这两种半导体的交界处就形成了P—N结,它是构成其它半导体的基础,我们要掌握好它的特性!2:异形半导体接触现象 1)扩散运动:在形成的P—N结中,由于两侧的电子和空穴的浓度相差很大,因此它们会产生扩散运动(高浓度向低浓度扩散):电子从N区向P区扩散;空穴从P去向N区扩散。因为它们都是带电粒子,它们向另一侧扩散的同时在N区留下了带正电的空穴,在P区留下了带负电的杂质离子,这样就形成了空间电荷区,也就是形成了电场(自建场). 它们的形成过程如图(1),(2)所示 2)漂移运动:在电场的作用下,载流子将作漂移运动,它的运动方向与扩散运动的方向相反,阻止扩散运动。电场的强弱与扩散的程度有关,扩散的越多,电场越强,同时对扩散运动的阻力也越大,当扩散运动与漂移运动相等时,通过界面的载流子为0。此时,PN结的交界区就形成一个缺少载流子的高阻区,我们又把它称为阻挡层或耗尽层。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

电工电子技术基础试题库

电工电子技术基础试题库 Prepared on 24 November 2020

一、判断题 1.理想电流源输出恒定的电流,其输出端电压由内电阻决定。 (错) 2.因为正弦量可以用相量来表示,所以说相量就是正弦量。 (错) 3.自耦变压器由于原副边有电的联系,故不能作为安全变压器使用。(对) 4.电动机的额定功率是指电动机轴上输出的机械功率。 (对) 5.一个1/4W,100Ω的金属膜电阻,能够接在50V 电源上使用。 (错) 6.三相对称电路中,负载作星形联接时,P 3I I l 。 (错) 7.电阻、电流和电压都是电路中的基本物理量。 (错) 8. 电压是产生电流的根本原因。因此电路中有电压必有电流。 (错) 9. 正弦量的三要素是指最大值、角频率和相位。 (错) 10.负载作星形联接时,必有线电流等于相电流。 (对) 11.一个实际的电感线圈,在任何情况下呈现的电特性都是感性。 (错) 12.正弦交流电路的频率越高,阻抗越大;频率越低,阻抗越小。 (错) 13.中线不允许断开,因此不能安装保险丝和开关。 (对) 14.互感器既可用于交流电路又可用于直流电路。 ( 错 ) 15.变压器是依据电磁感应原理工作的。 ( 对 ) 16.电机、电器的铁心通常都是用软磁性材料制成。 ( 对 ) 17.自耦变压器由于原副边有电的联系,所以不能作为安全变压器使用。 ( 对 ) 18.电动机的转速与磁极对数有关,磁极对数越多转速越高。 ( 错 ) 19.三相异步电动机在满载和空载下起动时,起动电流是一样的。( 错 ) 20.二极管若工作在反向击穿区,一定会被击穿。 (错) 21.晶体管可以把小电流放大成大电流。 (对) 22.在P 型半导体中,空穴是多数载流子,电子是少数载流子。 (对)

《电力电子技术基础》读书笔记

电力电子器件的发展对电力电子技术的发展起着决定性的作用,因此,电力电子技术的发展史是以电力电子器件的发展史为纲的。而电力电子技术的不断发展,新材料、新结构器件的陆续诞生,计算机技术的进步为现代控制技术的实际应用提供了有力的支持,在各行各业中的应用越来越广泛。电力电子技术在电力系统中的应用研究与实际工程也取得了可喜成绩。 电力电子技术是应用于电力领域的电子技术。具体地说,就是使用电力电子器件对电能进行变换和控制的技术,主要用于电力变换。目前所用的电力电子器件均用半导体制成,故也称电力半导体器件。通常把电力电子技术分为电力电子器件制造技术(理论基础是半导体物理)和变流技术(理论基础是电路理论)两个分支。电力电子器件的制造技术是电力电子技术的基础,而变流技术则是电力电子技术的核心。 电力电子技术的发展史 自 20 世纪50 年代末第一只晶闸管问世以来,电力电子技术开始登上现代电气传动技术舞台,以此为基础开发的可控硅整流装置,是电气传动领域的一次革命,使电能的变换和控制从旋转变流机组和静止离子变流器进入由电力电子器件构成的变流器时代,这标志着电力电子技术的诞生。在随后的40 余年里,电力电子技术在器件、变流电路、控制技术等方面都发生了日新月异的变化,在国际上,电力电子技术是竞争最激烈的高新技术领域。 电力电子器件的发展对电力电子技术的发展起着决定性的作用,因此,电力电子技术的发展史是以电力电子器件的发展史为纲的。1957年美国通用电气公司研制出第一个晶闸管为电力电子技术的诞生奠定了基础。晶闸管自诞生以来,电力电子器件已经走过了五十多年的概念更新、性能换代的发展历程。 第一代电力电子器件 以电力二极管和晶闸管(SCR)为代表的第一代电力电子器件,以其体积小、功耗低等优势首先在大功率整流电路中迅速取代老式的汞弧整流器,取得了明显的节能效果,并奠定了现代电力电子技术的基础。电力二极管对改善各种电力电子电路的性能、降低电路损耗和提高电源使用效率等方面都具有非常重要的作用。目前,硅整流管已形成普通整流管、快恢复整流管和肖特基整流管三种主要类型。晶闸管诞生后,其结构的改进和工艺的改革,为新器件的不断出现提供了条件。由晶闸管及其派生器件构成的各种电力电子系统在工业应用中主要解决了传统的电能变换装置中所存在的能耗大和装置笨重等问题,因而大大提高电能的利用率,同时也使工业噪声得到一定程度的控制。 第二代电力电子器件 自20世纪70 年代中期起,电力晶体管(GTR)、可关断晶闸管(GTO)、电力场控晶体管(功率MOSFET)、静电感应晶体管(SIT)、MOS 控制晶闸管(MCT)、绝缘栅双极晶体管(IGBT)等通断两态双可控器件相继问世,电力电子器件日趋成熟。一般将这类具有自关断能力的器件称为第二代电力电子器件。全控型器件的开关速度普遍高于晶闸管,可用于开关频率较高的电路。 第三代电力电子器件 进入20 世纪90 年代以后,为了使电力电子装置的结构紧凑、体积减少,常常把若干个电力电子器件及必要的辅助元件做成模块的形式,这给应用带来了很大的方便。后来,又把驱动、控制、保护电路和功率器件集成在一起,构成功率集成电路(PIC),也就是说,电力电子器件的研究和开发已进入高频化、标准模块化、集成化和智能化时代。电力电子器件的高频化是今后电力电子技术创新

电工电子技术基础复习提纲

(第 4 题) (第 5 题) 电工电子技术基础复习提纲 : 答(A ) ;流过的电流可为任意值,由外电路即可确定 ;流过的电流不为任何值,由电压源即可确定 ;电流必定由电压源正极流出 ,可为任意值 ;电流未必由电压源正极流出 ,可为任意值 A. -49 V 4、图示二端电路中与理想电压源并联的电阻 A. 对端口电压有影响 B. 对端口电流有影响 5、图(a )电路中, U s 20V ,R i 900 ),则其工作点应是: A. u 10 V , i 0 mA B C. u 10 V , i 5 mA D 答(C ) R 2 1100 ,稳压管的伏安特性曲线如图( b 答(C ) u 10 V , i 10 mA u 0 V , i 10 mA C.对U S 支路的电流有影响 D.对端口电压与端口电流均有影响 一、单项选择题(四选一) 1、一个理想独立电压源的基本特性是 A ?其两端电压与流过的电流无关 B ?其两端电压与流过的电流有关 C. 其两端电压与流过的电流无关 D. 其两端电压与流过的电流有关 2、 电路如图所示,支路电流I AB 与支路电压U AB 分别应为:答(C ) C. -54V B. -47 V D. -51 V

6、电路如图所示,若电压源的电压U s 0,则电路的功率情况为: 答( C ) A. 仅电阻吸收功率,电压源供出功率 B. 仅电阻吸收功率,电流源供出功率 C. 电阻与电流源均吸收功率 D. 电阻与电压源均吸收功率 电压u 及其导数詈之值分别为 A. 100k B. 1M C. 10 M 11、图示电路为一个用理想二极管 D 1、D 2和电阻器R 组成 的电路,求图中标注出的电压 U 数值和流过电阻 R 的电 12、用NPN 型管构成的共发射极基本放大电路,输出波形上负周出现平顶,则失真类型和 消除方法是: ( C ) 电压源供出功率 电流源供出功率 7、 ①U s □ 1 ——1A G 一 9S ------ 1_F 1S □ 16S 9S 16S (第 6 题) 图示电路的等效电导 1 A. S B. C. 27 S (第 7 题) 答 ( 1 图示电路中,负载电阻 R L 获得最大功率的条件是 9、 B. R C. R ―1__1 -------- R [ U 图示电路中,开关在 t 0时闭合,已知闭合前电容电压 D. U C *丄 2F ----------- 4 1 -H 4 i 、1 \ 4 ( U C 2 V ,则在 t 0时, A. 2 V ,0 B. 2 V ,-4 ^S C. 0,寸 % D. 0, 10、今有10卩F 的电容元件,充电到 100 V 后从电路中断开,经 10 s 后电压下降 到36.8 V ,则该电容元件的绝缘电阻为 答(B ) 流1的数值: (D ) A 、 U = + 5V I = 0.5mA B 、 U = + 2V I = 0.7mA C 、 U = + 7V I = 1.2mA D 、 U = + 5V I = 1mA 5 V R L 2 (第 8 题) u (第 9 题) D. 20 M

电工电子技术基础教材

电工电子技术基础教材 (第一版) 主编:马润渊张奋

目录 第一章安全用电 (1) 第二章直流电路基础 (2) 第三章正弦交流电路 (21) 第四章三相电路 (27) 第五章变压器 (39) 第六章电动机 (54) 第七章常用半导体 (59) 第八章基本放大电路 (65) 第九章集成运算放大器 (72) 第十章直流稳压电源 (75) 第十一章数制与编码 (78) 第十二章逻辑代数基础 (81) 第十三章门电路和组合逻辑电路 (84)

第一章安全用电 学习要点: 了解电流对人体的危害 掌握安全用电的基本知识 掌握触点急救的方法 1.1 触电方式 安全电压:36V和12V两种。一般情况下可采用36V的安全电压,在非常潮湿的场所或 容易大面积触电的场所,如坑道内、锅炉内作业,应采用12V的安全电压。 1.1.1直接触电及其防护 直接触电又可分为单相触电和两相触电。两相触电非常危险,单相触电在电源中性点接地的情况下也是很危险的。其防护方法主要是对带电导体加绝缘、变电所的带电设备加隔离栅栏或防护罩等设施。 1.1.2间接触电及其防护 间接触电主要有跨步电压触电和接触电压触电。虽然危险程度不如直接触电的情况,但也应尽量避免。防护的方法是将设备正常时不带电的外露可导电部分接地,并装设接地保护 等。 1.2 接地与接零 电气设备的保护接地和保护接零是为了防止人体接触绝缘损坏的电气设备所引起的触电事故而采取的有效措施。 1.2.1保护接地 电气设备的金属外壳或构架与土壤之间作良好的电气连接称为接地。可分为工作接地和保护接地两种。 工作接地是为了保证电器设备在正常及事故情况下可靠工作而进行的接地,如三相四线制电源中性点的接地。 保护接地是为了防止电器设备正常运行时,不带电的金属外壳或框架因漏电使人体接触时发生触电事故而进行的接地。适用于中性点不接地的低压电网。 1.2.2保护接零 在中性点接地的电网中,由于单相对地电流较大,保护接地就不能完全避免人体触电的危险,而要采用保护接零。将电气设备的金属外壳或构架与电网的零线相连接的保护方式叫保护接零。

中职《 电子技术基础 》期末试卷(含参考答案)

2015 学年第 1 学期 《电子技术基础》期末试卷 (A卷,四大题型34小题) 考试形式:闭卷 一、填空题(10小题,20空格,每空格1分,共20分。) 1、直流放大器产生零点漂移的原因是:________________;________________。 2、差分放大电路的共模抑制比KCMR的含义是___________________________________,KCMR 越大,抑制零漂的能力越强。 3、集成运放在应用时,电路中需加保护电路,常用的保护电路有:________________保护;________________保护;________________保护。 4、要求放大电路输入电阻大、输出电阻小,可采用________________反馈;要求放大电路输入电阻小、输出电阻大,可采用________________反馈。 5、如果要求稳定放大器输出电压,并提高输入电阻,则应该对放大器施加_______________反馈;如果要求稳定放大器输出电流,并提高输出电阻,则应该对放大器施加_______________反馈。 6、如要产生较高频率的信号,可选用________________振荡器,如要产生较低频率的信号,可选用________________振荡器,如要产生频率________________的信号,可选用石英晶体振荡器。 7、放大器能否正常工作的首要重要条件是有合适的________________。 8、在单级共射极放大电路中,输入电压和输出电压的频率________________,________________电压被放大,而相位________________。 9、在桥式整流电路中,若输出电压为9V,负载中的电流为1A,则每个整流二极管应承受的反向电压为________________。 10、已知下图中三极管各极的电位,该三极管将处于________________工作状态。 二、判断题(11小题,每小题2分,共22分。将叙述正确的每小题用√填写在下面表格对应的序号中,叙述错误的每小题用x填写在下面表格对应的序号中。) 1、衡量一个直流放大器零漂的程度只需看输出零漂电压的大小。 2、理想运算放大器两输入端的电位相等并且恒等于0V。 3、差分放大器的放大倍数与组成它的单管放大器的放大倍数相同。 4、反相输入比例运算放大器的反馈类型是电压串联负反馈。 5、两个电压放大倍数不同的直流放大器,若它们输出端的零漂电压相同,则电压放大倍数小的直流放大器,其零漂现象要严重些。 6、共集电极放大器属电压串联负反馈放大器,所以该电路的输入阻抗高,输出阻抗低。 7、负反馈能使放大器的通频带扩展,也能减小放大器的波形失真。 8、在共射极单管放大电路中,若电源电压不变,只要改变集电极电阻Rc就能改变集电极电流Ic值。 9、固定偏置放大电路中,若更换三极管,则基极偏流电阻必须重新调整。 10、全波整流电路中,二极管与负载串联,流过二极管的电流和负载电流相等。 11、二极管在反向电压小于反向击穿电压时,反向电流极小;当反向电压大于反向击穿电压后,反向电流迅速增大。 三、选择题(10小题,每小题2分,共20分。将每小题正确的选项答案填写在下面 题号123456789101112 答案 1、同相输入比例运算放大器的反馈类型是_________。 A、电流串联负反馈 B、电流并联负反馈 C、电压串联负反馈 D、电压并联负反馈 2、引起直流放大器零点漂移的因素很多,其中最难控制的是_________。 A、半导体器件参数的变化 B、电路中电容和电阻数值的变化 C、电源电压的变化 班级: 姓名:学号:题号一二三四总分得分

《电工电子技术基础》试卷A及参考答案

华中师范大学成人专科 学年第二学期 《电工电子技术基础》试卷(A 卷) 考试时间:90分钟 闭卷 任课老师: 班级: 学号: 姓名: 成绩: 一、填空:(每空2分,共40分) 1、基尔霍夫电流定律:I= A 。; 2、欧姆定律:买了一个日光灯,功率P=40W ,电压220V ,I= A . 因为它的功率因数只有0.5,应该在它的两端并联 可以其提高功率因数。 3、电路如图,其戴维南等效电路的参数: U AB = V ;R AB = Ω; 4、单相交流电路: 已知:0220260)u t V =+;则有效值U= V ;频率是 HZ 。 5、对称三相四线制电路中,相电压是220V ,线电压为: V ; 6、三相交流异步电动机的转差率S= 。 7、三相交流异步电动机定子旋转磁场的转速是: 8、三极管的放大条件是: 9、判断R F 的反馈类型: 。 10、组合电路如图,输出F= 。 装 订 线

11、三端集成稳压器W7809能够输出 V电压。 12、三相四线制电路中,则中线的作用为。 13、能实现F=0 的逻辑门 是。 14、可以实现Q Q n= +1的电路 是:。 15、安全电压是: V。 16、热继电器的热元件应该连接到。 17、变压器铁心有:两种损耗。 二、简答题:(每题4分,共20分) 1、交流电路的有功功率、无功功率及视在功率的表达式?其中cos?被称为什么? 答: 2、三相异步电动机的调速方法有哪些? 答: 3、画出接触器的线圈符号及触头的符号。 答: 4、单相桥式整流电路,已知变压器副边电压U 2 =20V,则输出平均电压 U O =?若一个二极管开路,则输出平均电压U O =?

(完整版)中职电子技术基础教案

中职电子技术基础教案 中职电子技术基础教案随着科学技术的发展,电子技术目前被广泛应用于各个领域,与其他学科相比,电子技术更注重对学生思维和创新意识的提高,注重提升学生的综合能力。电子技术教学课程主要包括“模拟电子技术” 和“数字电子技术” 两部分,这是一门理论与实践并重的技术课程。中职院校要大力改革电子技术传统教学模式,创新教学体制,调整教学,不仅要使学生掌握基础理论知识,掌握专业技能,锻炼学生的逻辑思维和独立分析问题、解决问题的能力,坚持以培养学生创新实践能力为主要目的,激发学生的学习积极性,根据教学实际调整教学内容和考核方式,创新电子技术教学模式。 1. 教师专业素质有待提高,教学方式陈旧目前中职院校教师的专业教学水平还较低,教学呈现滞后状态,教学内容陈旧,教师简单的根据教材死板的开展教学,使学生处于被动的状态,学生的主体性难以发挥。其次,教师不会使用多种形式开展教学,教学方法过于单一,对于多媒体教学设备使用不够充分。 2. 理论教学与实践教学脱节现在中职院校电子技术过于强调理论知识教学,而且理论教学与实践教学分开进行,教师先讲解相关课程理论知识,之后进行相应的实验教学,这种教学设置导致理论教学与实践教学联系不够紧密,二者距离较远,且课堂教学略显枯燥,电子技术教学效果欠佳。 3. 学生文化基础较为薄弱中职院校一般招生大多是针对高中

毕业没有考上本科的学生,学生文化基础较为薄弱,对学习的自主性与兴趣不够,导致高职专业教育和电子技术教学效果不佳。 1. 按照教学大纲开展教学高职院校要根据教学大纲的要求,做好电子技术教学,教学内容既要涵盖重要的基础理论、基础技能,电子技术教师要结合现代科学技术发展情况,在教学中增加介绍现代电子技术的新内容,做好基础理论教学,学生在扎实掌握电子技术知识基础上发挥自己的主观能动性,用正确理论指导实践,教师在调整教学时要做到开拓学生视野。 2. 营造优良的实践教学环境电子技术教学是一门理论知识与实践教学相结合的学科,因此教师要注重培养学生的专业理论知识和电子技能,高职院校要加大投入,建设电子教室和电子技术训练室的建设,为学生提供良好的实践教学环境和实践操作演练的设备设施,教师要增加实践环节的教学力度,提高实践教学在教学课时中的比重。教师要把理论教学与实践有机结合起来,合理安排实践课程的内容,确保实践课的教学质量。 3. 构建能力本位的课程体系 电子技术课程所包含的内容特别多,例如半导体二极管、三极管、集成运算放大器电路、信号产生电路等,教学难度很大,加之高职学生的文化基础较为薄弱,他们学习理论知识的兴趣不高,排斥枯燥的教学内容,但对实验性的知识很感兴趣。教师要根据学生特点,以提高学生能力为核心调整教学,以专业培养目标和就业

电力电子技术基本概念和基础知识练习(大工复习)

电力电子技术基本概念和基础知识练习:(王兆安、黄俊第四版) 第1章电力电子器件填空题: 1.电力电子器件一般工作在________状态。 2.在通常情况下,电力电子器件功率损耗主要为________,而当器件开关频率较高时,功率损耗主要为________。 3.电力电子器件组成的系统,一般由________、________、________三部分组成,由于电路中存在电压和电流的过冲,往往需添加________。 4.按内部电子和空穴两种载流子参与导电的情况,电力电子器件可分为________ 、________ ________三类。 5.电力二极管的工作特性可概括为________。 6.电力二极管的主要类型有________、________、________。 7.肖特基二极管的开关损耗________快恢复二极管的开关损耗。 8.晶闸管的基本工作特性可概括为____ 正向有触发则导通、反向截止____ 。 9.对同一晶闸管,维持电流IH与擎住电流IL在数值大小上有IL________IH 。 10.晶闸管断态不重复电压UDRM与转折电压Ubo数值大小上应为,UDRM________Ubo。 11.逆导晶闸管是将________与晶闸管________(如何连接)在同一管芯上的功率集成器件。 12.GTO的________结构是为了便于实现门极控制关断而设计的。 13.功率晶体管GTR从高电压小电流向低电压大电流跃变的现象称为________ 。 14.MOSFET的漏极伏安特性中的三个区域与GTR共发射极接法时的输出特性中的三个区域有对应关系,其中前者的截止区对应后者的________、前者的饱和区对应后者的________、前者的非饱和区对应后者的________。 15.电力MOSFET的通态电阻具有________温度系数。 16.IGBT 的开启电压UGE(th)随温度升高而________,开关速度________电力MOSFET 。 17.功率集成电路PIC分为二大类,一类是高压集成电路,另一类是________。 18.按照驱动电路加在电力电子器件控制端和公共端之间的性质,可将电力电子器件分为________和________两类。 19.为了利于功率晶体管的关断,驱动电流后沿应是________。 20.GTR的驱动电路中抗饱和电路的主要作用是________。 21.抑制过电压的方法之一是用________吸收可能产生过电压的能量,并用电阻将其消耗。在过电流保护中,快速熔断器的全保护适用于________功率装置的保护。 22.功率晶体管缓冲保护电路中的二极管要求采用________型二极管,以便与功率晶体管的开关时间相配合。 23.晶闸管串联时,给每只管子并联相同阻值的电阻R是________措施,给每只管子并联RC支路是________措施,当需同时串联和并联晶闸管时,应采用________的方法。 24.IGBT的通态压降在1/2或1/3额定电流以下区段具有________温度系数,在1/2或1/3额定电流以上区段具有________温度系数。 25.在如下器件:电力二极管(Power Diode)、晶闸管(SCR)、门极可关断晶闸管(GTO)、电力晶体管(GTR)、电力场效应管(电力MOSFET)、绝缘栅双极型晶体管(IGBT)中,属于不可控器件的是________,属于半控型器件的是________,属于全控型器件的是________;属于单极型电力电子器件的有________,属于双极型器件的有________,属于复合型电力电子器件得有________;在可控的器件中,容量最大的是________,工作频率最高的是________,属于电压驱动的是________,属于电流驱动的是________。 第2章整流电路填空题: 1.电阻负载的特点是________,在单相半波可控整流电阻性负载电路中,晶闸管控制角α的最大移相范围是________。 2.阻感负载的特点是________,在单相半波可控整流带阻感负载并联续流二极管的电路中,晶闸管控制角α的最大移相范围是________ ,其承受的最大正反向电压均为________,续流二极管承受的最大反向电

相关文档
相关文档 最新文档