文档库 最新最全的文档下载
当前位置:文档库 › 简单微处理器的设计与实现

简单微处理器的设计与实现

简单微处理器的设计与实现
简单微处理器的设计与实现

实验五简单微处理器的设计与实现

一、设计任务和技术指标

运用在“数字电路与逻辑设计”课程中学过的基本理论知识,设计并用可编程逻辑器件实现一个简单的八位操作数的微处理器。完成微处理器硬件系统设计和指令系统设计两方面的任务,使微处理器能够实现两个不带符号位的八位二进制数原码相乘等功能。

二、简单微处理器介绍

1.微处理器硬件系统及原理

微处理器硬件系统包括运算器、控制器、存储器以及其它必要的逻辑部件。图4-1是一个微处理器的参考原理框图,具体说明如下:

(1)程序计数器PC:存放将要执行指令的地址。

(2)指令存储器IROM,存放程序指令,每条指令的长度为W,指令的个数为L。

(3)指令寄存器IR:存放被执行指令的操作码,直接供运算控制器。

(4)控制器CON:产生一系列时序逻辑信号,控制微处理器各个部件协调一致地完成每条指令相应的操作,实现两个操作数的运算。

(5)通用寄存器堆R0-R7:用来临时存放运算过程中读出和写入的数据。

(6)缓冲寄存器RS和RD:用于存放ALU的两个输入操作数。

(7)运算器ALU和进位寄存器C:运算器ALU对两个操作数RS和RD进行加、减或逻辑运算处理,在进行加减运算时还接受控制器的进位输入信号CI,ALU的运算结果送给通用寄存

器或特殊寄存器。ALU还根据运算结果设置进位标志C和零标志Z。

(8)运算结果显示送七段数码管显示,用十六进制显示。

输入

输出

图4-1 简单微处理器框图

2.处理器指令系统及功能

处理器的基本指令字长为W位,指令的每一位从高到低用D W、D W-1、…D1、D0表示,有些微处理器的一条指令包括多个指令字长,即每条指令的长度不一样,例如Intel的80386等。本实验为了简化设计,规定所有的指令都是单指令字的指令,即所有指令的长度都是W。基本的指令系统一般包括

三类指令:数据传送指令、算术逻辑运算指令和转移指令。每条指令又有多种对操作数的寻址方式。本实验只要求掌握立即数寻址和直接寻址方式。例如下表中OP表示要进行的操作,立即数表示操作数为常数,D、S是直接寻址的地址,表示要寻址的通用寄存器的地址, ADDR表示要跳转到的地址。

OP字段的长度主要由指令种类的数量决定,但不同类型的指令的OP字段的长度也可以不同。D、S字段的长度主要由寄存器的个数决定。ADDR的长度主要由容许的程序最大长度决定。

表4-1 指令格式

立即数立即数寻址指令OP D

S 直接寻址指令OP D

转移指令OP ADDR

2.1数据传送指令

数据传送指令在两个通用寄存器或者通用寄存器和特殊寄存器直接传送数据,或者为通用寄存器装载一个常数,常用的指令可以用以下助记符表示:

MOV Dest_Reg, Source_Reg 将Source_Reg的内容传送到Dest_Reg

MOV Dest_Reg, #Constant 将常数Constant装载到Dest_Reg

2.2算术逻辑运算指令

这类指令控制ALU执行两个寄存器之间的运算操作。通常微处理器还具有一些状态寄存器,它们由ALU的运算结果设置。在表4-1的指令格式里,S和D字段指定了两个寄存器。OP字段规定了在S 和D之间执行的操作种类,并将结果保存到D寄存器。

ALU还根据运算结果设置进位标志C和零标志Z。即如果运算结果有进位,则设置进位标志C=1,如果运算结果为零,则设置零标志Z=1。

常用的指令可以用以下助记符表示:

表4-2 算术逻辑运算指令助记符

助记符功能

ADD D =S+D

ADDC D =S+D+C

INC D =D+1

DEC D = D-1

SUB D =D – S

SUBB D = D – S – 1

AND D = D and S

OR D = D or S

XOR D = D xor S

假设微处理器共有64条不同的指令,定义OP字段长6位,分配给ADD指令的OP是100111,D、S 字段都是4位,那么指令字长就是14位。对于指令ADD R3, R6,它的指令码应该是“100111-0011-0110”。2.2转移指令

转移指令分为无条件转移和条件转移指令。条件转移指令可以根据进位标志C、零标志Z的状态控制程序的流程,常用的指令助记符如下:

表4-3 转移指令助记符

助记符说明

JMP 无条件跳转到(ADDR)

JC 如果C=1就跳转到(ADDR)执行,否则继续执行

JNC 如果C=0就跳转到(ADDR)执行,否则继续执行

JZ 如果Z=1就跳转到(ADDR)执行,否则继续执行

JNZ 如果Z=0就跳转到(ADDR)执行,否则继续执行

3. NOP指令

空操作指令,不执行任何动作。

4.处理器的设计

为实现上述处理器的功能,每条指令运行需要若干个时钟周期,称为机器周期。通常周期“0”为“取指令”阶段,其余周期为“执行”阶段,例如可以安排周期“1”取S操作数,周期“2”取D操作数并运算,周期“3”将运算结果保存回D。

三、实验要求:

1.参考并修改图4-1的框图设计能够处理八位操作数的微处理器系统,画出必要的电路结构示意图、状态转移图。设计微处理器的指令系统(指令助记符、指令编码方式),至少包括数据传送指令、算术运算指令、逻辑运算指令和转移指令的每一类指令中的一条或若干条。确定每条指令执行所需的指令周期数,合理分配各个指令周期。

2.要求IROM和寄存器堆(R0…R7)用LPM实现。

3.合理安排系统应有的输入、输出开关,下面是建议使用的开关。

①“复位”开关——用于系统复位和清除PC,并从零地址开始执行程序。

③“单步/连续”开关——为了能够调试检查处理器的运行情况,用这个开关来选择连续脉冲时钟或单脉冲时钟。

④“单脉冲”按钮——单步工作时,产生时钟单脉冲。

⑤“数据输入”开关——8位开关,用于输入8位数据。

⑥“数据显示”——七段显示器,显示指令执行的结果。

⑦“PC”显示——七段显示器,显示PC的内容。

⑧“指令码显示”——八个发光管,显示指令码,方便调试。

4.参考下表编写乘法程序测试你的系统。

为验证处理器的功能,编写一个两个无符号位的八位二进制数相乘的程序,乘积为16位,将程序保存于指令存储器(IROM)中。在运行程序时注意:

A.为输入乘数或被乘数,应该首先将输入开关S7-S0置为乘数或被乘数,然后按下输入键,使程序读入数据。

B.由于输入键按下的持续时间一般大于指令周期,因此必须由硬件或软件对输入键进行处理,以免将按下一次输入键误识为按下多次输入键。

表4-7 乘法程序

PC 显示程序

地址

指令码操作说明

0 000000 SUB R3,R3 R3← 0

1 000001 P0: MOV R1,Rin1 R

1

← Rin1,输入乘数

2 000010 MOV R2,Rin2 R

2

← Rin2,检测按下输入键

3 … SUB R2, R3 R

2

← R2 - 0

4 …

JZ P0 如果R2等于0(没有按下输入键),跳转到P0执行,等待按下输入键

5 P1: MOV R4,Rin1 R

4

← Rin1,输入被乘数

6 MOV R2,Rin2 R

2

← Rin2,检测按下输入键

7 SUB R2, R3 R

2

← R2 - 0

8

JZ P1 如果R2等于0(没有按下输入键),跳转到P1执行,等待按下输入键

9 R

1

=乘数,R4=被乘数,下面进行乘法计算。… … … … …

5.在微机上完成功能仿真,正确后,在实验板上完成逻辑设计下载。

6.认真写好实验报告,应包括如下内容:

①实验目的。

②处理器设计方案、指令系统、各部分工作原理说明及框图。

③关键的仿真波形及分析

④实验课总结、体会及意见。

实验六小组合作实验

一、实验目的

本实验可以由2-5人合作完成。在实验五的基础上修改指令集,使之能够运行相对复杂的程序。

二、设计要求

1.修改指令集,使之能够支持更多、更复杂的指令。

2.自行编写程序,四则运算或其它复杂运算。

3.合作完成实验报告。

微处理器课程设计报告

桂林航天工业学院 课程设计报告 系(部):电子工程与自动化学院专业班级:xxxx班学生姓名:xxx学号:xxxxxx 课程:微处理器与接口技术课程设计 设计题目:简易波形发生器 完成日期:2016年01月04日 指导教师评语: 成绩(五级记分制): 指导教师(签字):____

摘要 本系统是基于STC89C52单片机的数字式低频信号发生器。采用STC89C52单片机作为控制核心,外围采用数字/模拟转换电路(DAC0832)、运放电路(LM324)、按键和8位数码管等。通过按键控制可产生方波、三角波、正弦波等,同时用数码管指示其对应的频率。其设计简单、性能优好,可用于多种需要低频信号的场所,具有一定的实用性。 各种各样的信号是通信领域的重要组成部分,其中正弦波、三角波和方波等是较为常见的信号。在科学研究及教学实验中常常需要这几种信号的发生装置。为了实验、研究方便,研制一种灵活适用、功能齐全、使用方便的信号源是十分必要的。 本文介绍的是利用STC89C52单片机和数模转换器件DAC0832产生所需不同信号的低频信号源,其信号幅度和频率都是可以按要求控制的。文中简要介绍了DAC0832数模转换器的结构原理和使用方法,STC89C52的基础理论,以及与设计电路有关的各种芯片。文中着重介绍了如何利用单片机控制D/A转换器产生上述信号的硬件电路和软件编程。信号频率幅度也按要求可调。 本次关于产生不同低频信号的信号源的设计方案,不仅在理论和实践上都能满足实验的要求,而且具有很强的可行性。该信号源的特点是:体积小、价格低廉、性能稳定、实现方便、功能齐全。 关键词: STC89C52 DAC0832 LM324 数码管 Abstract The Waveform The system is a digital signal generator based on single chip computer.STC89c52 is used as a control microcontroller core.The system is composed by digital/analog comversion(DAC0832), imply circuit,button and nixie tube.It can generate the square, triangle and sine wave,with nixie tube.The system can be used for a signal soure in the low-frequency signal soure.It is very practical. Various signals are an important part of correspondent area. In this area, sine wave, triangle wave and square wave are common signals. In science research and teaching experiment, we often need the occurrence equipment of these signals. In order to make the experiment and research easier, to develop a suitable, full functional and easily used signals

简单微处理器的设计

简单微处理器的设计 摘要:本课程设计采用EDA技术设计简单微处理器。系统设计采用自顶向下的设计方法。它由数的输入,数的比较,数的交换和结果输出四部分组成。系统实现采用硬件描述语言VHDL把系统电路按模块化方式进行设计,然后进行编程、时序仿真并分析。系统结构简单,使用方便,功能齐全,精度高,具有一定的应用价值。 关键词:处理器;输入;比较;交换

目录 1 引言 (1) 1.1课题设计的背景、目的 (1) 1.2 课程设计的内容 (1) 2 EDA、VHDL简介 (2) 2.1 EDA简介 (2) 2.2 VHDL简介 (2) VHDL语言的特点 (2) VHDL的设计流程 (3) 3 简单微处理器的设计过程 (4) 3.1设计规划 (4) 3.2 各模块设计及相应程序 (4) 4 系统仿真 (8) 1.数的输入. (8) 2 数的比较。 (8) 3 交换两个数。 (9) 4 结果输出(从小到大). (9) 结束语 (11) 致谢 (12) 参考文献 (13) 附录 (14)

1 引言 随着社会的发展,科学技术也在不断的进步。特别是计算机产业,可以说是日新月异,而处理器,作为计算机中的一个重要部分,其性能从很大程度上决定了计算机的性能。本设计介绍的简单微处理器,要求具有以下验证程序所要求的功能:输入包含10个整数(无符号数)的数组M,按从小到大的顺序输出这10个数。 1.1课题设计的背景、目的 微处理器技术的发展是与微电子技术即大规模集成电路技术的发展分不开的。微电子技术以每18个月集成度提高一倍的速度迅速发展。20世纪80年代初,主要是16位微处理器8086/8088。1985年推出了80386微处理器,完成了16位体系结构向32位体系结构的转变。1989年80486出现了。80486的设计目标是提高指令执行速度和支持多处理器系统。80486在芯片内部增加一个8KB的高速缓冲存储器(cache),还增加了相当于80387的浮点部件(FPU),在基本指令的实现上,采用硬布线逻辑而不是微程序技术。1993年3月,Intel公司推出了第一代“奔腾”微处理器(Pentium),微处理器技术发展进入了一个新的阶段。到目前为止,“奔腾”已有四代产品。“奔腾”的设计思想是把如何提高微处理器内部指令执行的并行性作为主导。指令执行的并行性越好,微处理器的性能就越高。 本次设计的目的就是了解并掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,学习VHDL基本单元电路的综合设计应用。通过对实用电子称的设计,巩固和综合运用所学课程,理论联系实际,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。通过课程设计深入理解VHDL语言的精髓,达到课程设计的目标。 1.2 课程设计的内容 本设计主要介绍的设计一台简单微处理器,要求具有以下验证程序所要求的功能:输入包含10个整数(无符号数)的数组M,按从小到大的顺序输出这10个数。 ( 1 )程序开始及输入10个数据。 ( 2 )数的比较。

嵌入式系统课程设计---基于ARM微处理器的数码管驱动设计-任务书(1)

河南工业大学嵌入式课程设计 课程设计题目:基于ARM微处理器的数码管驱动设计学院:信息科学与工程学院 班级:电科1304 姓名: 学号:2013160304 指导老师姓名:李智慧

13级电科专业课程设计任务书

基于ARM微处理器的数码管驱动设计 一、设计要求 1.1实验内容: 1. 利用ARM微处理器实现数码管显示驱动; 2.能够显示0 1 2 3 4 5 6 7 8 9等数字,且循环显示; 3. 通过按键改变循环显示速度; 1.2实验设备: 硬件:PXA270 实验平台,PXA270 ARM 标准/增强型仿真器套件,PC 机。 软件:VMware Workstation、Ubuntu、win7。 二、设计方案 本次试验选用的芯片为 PXA270 ,使用的是 PXA270集成试验箱。基于 IntelXScale 架构的 PXA270处理器,集成了存储单元控制器、时钟和电源控制器、 DMA控制器、 LCD控制器、 AC97控制器、 I2S 控制器、快速红外线通信 (FIR) 控制器等外围控制器,可以实现丰富的外围接口功能。其低电源运行模式以及动态电源管理技术可以有效的降低电源的功耗。使用试验箱上的 4X4 的矩阵键盘作为输入,用来控制数码管显示的快慢速度,将需要输出的各个数码管状态从两个数码管中输出。 三、设计原理 1、数码管结构 七段数码管由 8 个发光二极管排列组成(包括小数点位)如下图所示: 这 8 个独立的二极管通常被命名: a.b.c.d.e.f.g.h 。 h 表示小数点。利用 7 段数码管能显示所有数字以及部分英文字母。 数码管有 2 种不同的形式:一种是 8 个发光二极管的阳极都连一起,成为共 阳极 8 段数码管如图所示: 共阳极 8 段数码管的 8 个发光二极管的正极一起接 VCC ,要控制数码管中的某一段亮,比如 A段,只须要控制数码管的 A 脚为低电平就可以了,反之熄灭 A 段就控制 A 脚为高电平。

微原课程设计

微机原理课程设计 学院机电工程学院 专业自动化 姓名颜秦鑫 学号 指导教师千博董瑞军

第一章绪论 1.1课程设计的意义: 《微机原理与接口技术》是自动化专业的专业基础课,在总课程体系种占有重要的位置。课程设计的目的是使学生更进一步掌握微机原理及应用课程的有关知识,加深对微机应用的理解,以达到巩固课堂教学内容,并进一步加强学生的应用能力和创新能力,是培养学生综合素质,提高动手能力,增强发现问题和解决问题能力的重要部分。 1.2课程设计的目的: 1.掌握8088最小系统的实现方法 2.掌握利用8088最小系统完成存储器的设计及其扩展方法 3.掌握系统设计时的地址空间分配及其译码电路设计 4.掌握利用8088最小系统完成接口设计的方法 5.掌握8255、8253、0809、0832等芯片的使用方法 1.3 课程设计的要求: 1.构成8088最小工作系统 2.分别采用两片6264和2764完成存储器电路的设计 3.采用ADC0809组成8位温度AD变换接口电路 4.采用DAC0832组成8位DA变换接口电路驱动直流电机 5.采用8255和8253组成步进电机的控制电路 第二章设计思路说明 2.1 设计任务分析: 系统要求采用8088工作于最小方式下,在这种方式中,8088CPU引脚直接产生存储器或I/O口读写的所有控制信号。首先利用8284提供时钟信号,同时也提供了复位信号和准备好信号。然后利用3片74LS373锁存器芯片形成20位地址总线,利用1片74LS245双向数据收发器芯片形成8位数据总线,至此形成

总线逻辑电路和最小系统工作电路。存储器设计分别采用2片2764和2片6264进行扩展,分别形成16KB的ROM和16KB的RAM。温度变换电路采用AD590采集温度信号送入0809的通道进行AD转换,并用8259对其产生的EOC信号产生中断控制。将系统数据送入0832进行DA转换,完成控制直流电机。系统的定时计数器8253完成对步进电机定时计数,采用8255并行接口控制步进电机。以上各模块译码电路均由74LS138产生。 2.2 系统各模块地址空间分配: 存储器模块: ROM:FC000H—FFFFFH RAM:00000H—03FFFH 温度变换模块: ADC0809:000H—007H 8259:008H—009H 直流电机驱动模块: DAC0832:00AH 步进电机控制模块: 8253:00CH—00FH 8255:010H—013H 键盘显示模块: 8255:014H—017H 第三章电路总体构成 3.1 最小系统设计: 3.1.1 8088芯片介绍 1.8088芯片简介:

16位cpu设计课程设计

石家庄经济学院 信息工程学院 计算机组成原理课程设计报告 题目16位模型计算机的设计 姓名 学号 班号 指导老师 成绩 2011年1月

目录 1. 课程设计目的 (3) 2. 开发工具选择 (3) 3. 方案选择 (3) 4.指令系统设计 (4) 5. 模型机框图设计 (4) 6. 指令流程图 (5) 7.微指令格式(微程序控制器)设计 (6) 8.微程序(微程序控制器)设计 (7) 9. VHDL程序代码 (9) 10. 调试仿真 (16) 11. 课程设计回顾总结 (18) 参考文献 (18)

1. 课程设计目的 (1)、计算机组成原理课程设计的主要任务是让学生通过动脑和动手解决计算机设计中的实际问题。综合运用所学计算机组成原理知识,在掌握部件单元电路实验的基础上,进一步将其组成系统构造一台基本的模型计算机,掌握整机概念,并设计机器指令系统,编写程序,在所设计的模型计算机上调试运行。 (2)、通过一台模型机的设计过程,明确计算机的控制原理与控制过程,巩固和灵活应用所学的理论知识,掌握计算机组成的一般设计方法,提高学生设计能力和实践操作技能,为从事计算机研制与设计打下基础。 2. 开发工具选择 使用QUARTUS 5.0软件编写并调试VHDL程序,然后做功能仿真。 3. 方案选择 本次实习的内容为16位模型计算机的设计,单总线,采用微程序控制方式,有四种寻址方式:直接寻址、寄存器寻址、寄存器间接寻址和变址寻址。 微程序控制方式由微指令译码产生。微程序中一条机器指令往往分成几步执行,将每一步操作所需的若干为命令以代码编写在一条微指令中,若干条微指令组成一段微程序,对应一条机器指令。然后根据系统的需要,事先编制各段微程序,将它存入一个专用寄存器(即控制存储器)中。 微程序执行过程:如图1所示,为微程序控制基本框: (1)从控存中逐条取出“取指令操作”,执行取指令公共操作。 (2)根据指令的操作码,经过微地址形成部件,得到这条指令的入口地址,并送入微地址寄存器中。 (3)从控存中逐条的取出对应的微指令并执行。 (4)执行完一条机器指令对应的微程序后又回到取指微程序的入口地址,继续第(1)步,以完成取下一条机器指令的公共操作。 IR PSW PC 微地址 形成电路 微地址寄 存器μAR 微指令寄存器μIR 译码器 控制存储器CM 指令代码 运行状态 …… 微命令字段微地址字段 …… 微命令序列 图1 微程序控制基本框

微型计算机系统技术课程设计书

一、设计目的 为了进一步巩固学习的理论知识,增强学生对所学知识的实际应用能力和运用所学的知识解决实际问题的能力,开始为期两周的课程设计。通过设计使学生在巩固所学知识的基础之上具有初步的单片机系统设计与应用能力。 1、通过本设计,使学生综合运用《微型计算机技术》、《C 语言程序设计》以及《数字电路》、《模拟电路》等课程的内容,为以后从事电子产品设计、软件编程、系统控制等工作奠定一定的基础。 2、学会使用KEIL C 和PROTEUS 等软件,用C 语言或汇编语言编写一个较完整的实用程序,并仿真运行,保证设计的正确性。 3、了解单片机接口应用开发的全过程:分析需求、设计原理图、选用元器件、布线、编程、调试、撰写报告等。 二、设计内容 该实践训练环节要求学生能够运用所学知识,在老师的引导下完成课程设计题目,达到相应的训练要求。 通过实验进一步学习D/A 转换器的基本原理以及在单片机系统中扩展D/A 转换器的方法。用D/A 完成锯齿波输出,用PROTEUS 内带的示波器查看波形。 三、问题分析、方案的提出、设计思路及原因 首先根据锯齿波的图形构建基本框架,输出的电压值以一定的增量逐步增加,达到最大值后再回到初始值然后再次循环。 本设计要求利用数字量/模拟量(D/A )转换来完成锯齿波的输出。数/模转换器(DAC )是一种把数字信号转换成模拟信号的器件。计算机输出的数字信号首先传送到数据锁存器中,然后由模拟电子开关把数字信号的高低电平变成对应的电子开关状态。 1、系统方案的比较 方案一:采用函数信号发生器ICL8038集成模拟芯片,(如图2-1)它是一种可以同时产生方波、三角波、正弦波的专用集成电路。但是这种模块产生的波形都不是纯净的波形,会寄生一些高次谐波分量,采用其他的措施虽可滤除一些,但不能完全滤除掉。 方案二:采用分立元件实现非稳态的多谐振振荡器,然后根据需要加入积分电路等构成正弦、矩形、三角等波形发生器。这种信号发生器输出频率范围窄,而 图2-1方案一方框图 D/A 键 盘 单片机 ICL8038 运算电 路 显 示 D/A 输出

微型计算机技术及应用课程设计

微型计算机技术及应用 课程设计 课程题目:跑马灯的设计与实现 院系: 专业: 姓名: 学号: 指导老师: 完成日期:

跑马灯的设计与实现 一实验目的 1.巩固和加深课堂所学知识;熟悉各种指令的应用及条件; 2.深入了解与掌握8086微处理器、8255A、74ls154 和74LS273的工作原理 3.通过走马灯的设计与制作,深入了解与掌握利用可编程8255A进行开关量控制的原理与方法。 二实验要求 1.产生6种彩灯(8位LED)的走马灯花样; 2.键控(或拨码开关控制)发光实验。通过按下不同的开关来控制灯的不同的走向。 三实验内容 1.进行走马灯的系统电路设计,掌握基本原理; 2.利用PROTEUS软件画出电路原理图; 3.进行走马灯的控制程序设计(采用ASM语言); 4.将程序导入8086中进行仿真,完成4种不同的走向。 四程序流程图

Y N 五.设计原理与硬件电路 开始 系统初始化 8255初始化 按下开关 判断1-6的哪种 方式 选择发光方式 结束 熄灭LED 灯

1.功能分析 此次课程设计具体功能为: (1)采用不同的开关作为输入信号,8个LED灯作为输出信号。 (2)当按下不同的开关时(每次只能按下一个开关,不能同时按下多个开关)LED灯会出现不同的闪烁方式。当开关段开始,LED灯自动熄灭。 2.实验原理(系统连接图的设计) 8255A是一种通过可编程并行I/O接口芯片。广泛用于几乎所有系列的微机系统中,8255A具有三个带锁存或缓冲的数据端口,可与外设并行进行数据交换,8255A有多种操作方式,通用性较强,可为CPU与外设之间提供输入/输出通道。8255A和各端口内具有中断控制逻辑,在外设与CPU之间可用中断方式进行信息交换,使用条件传输方式时可用“联络”线进行控制。在实验中,我们运用8255为CPU与外设之间提供输入输出输出通道来实现对走马灯花样变换的控制。 8086微处理器,选择最小工作模式,所有的总线控制信号均由8086产生;8086CPU的地址\数据总线AD15-AD0和地址\状态总线A16/S3-A19/S6是复用的,必须通过地址锁存器把地址总线和数据总线分离。 走马灯模拟电路原理如图1所示。模块包括8个LED彩灯、三个74LS273、一个74LS154和若干电阻及开关。用LED可以观测在不同按键输入下,走马灯花样的变化效果。 如图1所示我们利用软件输入信号,通过8255端口扩展芯片和74LS273芯片,调节输出端口的电平变化,来控制共阴极的LED灯的亮与灭,实现走马灯花样变化。

EDA课程设计简易cpu设计

EDA技术课程设计任务书 班级:姓名:学号: 设计题目:简易CPU系统 一、设计目的 进一步巩固理论知识,培养所学理论知识在实际中的应用能力;掌握EDA设计的一般方法;熟悉一种EDA软件,掌握一般EDA系统的调试方法;利用EDA软件设计一个电子技术综合问题,培养VHDL编程、书写技术报告的能力。为以后进行工程实际问题的研究打下设计基础。 二、设计任务 用VHDL设计一个4位CPU。要求具备CPU的基本组成:运算器、控制器和寄存器;可以实现两个操作数的五种基本算术运算:加法运算、带进位的加法运算、减法运算、带借位的减法运算和乘法运算;四种逻辑运算:与运算、或运算、非运算、异或运算;以及程序跳转的功能。对设计电路进行波形仿真、定时分析,下载电路实现CPU的预定功能,同时给出设计电路占用芯片资源的基本情况。 三、设计要求 (1)通过对相应文献的收集、分析以及总结,给出相应课题的背景、意义及现状研究分析。 (2)通过课题设计,掌握计算机组成原理的分析方法和设计方法。 (3)学习按要求编写课程设计报告书,能正确阐述设计和实验结果。 (4)学生应抱着严谨认真的态度积极认真查阅相应文献以及实现,给出

分析、设计。 四、设计时间安排 查找相关资料(1天)、设计并绘制系统原理图(2天)、编写VHDL程序(2天)、调试(2天)、编写设计报告(2天)和答辩(1天)。 五、主要参考文献 [1] 江国强编著. EDA技术与实用(第三版). 北京:电子工业出版社,2011. [2] 曹昕燕,周凤臣.EDA技术实验与课程设计.北京:清华大学出版社,2006.5 [3] 阎石主编.数字电子技术基础.北京:高等教育出版社,2003. 指导教师签字:年月日

《微处理器原理与接口》

单片机课程设计密码锁

1.设计内容及意义.........................................................................2. 2.整体设计原理及方案 (2) 3.硬件电路图 (3) 4.程序设计流程图 (6) 5.实验结果及数据 (7) 6.问题及心得 (7) 7.完整程序 (8)

1.设计内容及意义 1.1设计内容 ①密码通过键盘输入,若密码正确,则将锁打开。②报警、锁定键盘功能。密码输入错误数码显示器会出现错误提示,若密码输入错误次数超过 3 次锁定键盘。电子密码锁的设计主要由三部分组成:4×4 矩阵键盘接口电路、密码锁的控制电路、输出八段显示电路。另外系统还有LED 提示灯。密码锁设计的关键问题是实现密码的输入、清除、更改、开锁等功能:①密码输入功能:按下一个数字键,一个“-”就显示在最右边的数码管上,同时将先前输入的所有“-”向左移动一位。②密码清除功能:当按下清除键时,清除前面输入的所有值,并清除所有显示。③开锁功能:当按下开锁键,系统将输入与密码进行检查核对,如果正确锁打开,否则不打开。 1.2 设计意义 随着经济的发展,人们对日常生活质量的要求也越来越高,从工作、学习、出行、购物等的各个方面,人们也对现代安全设施提出来更高的要求。在安全技术防范领域,具有防盗报警功能的电子密码锁逐渐代替传统,克服了机械式密码锁密码量少、安全性能差的缺点,使密码锁无论在技术上还是在性能上都大大提高一步。密码锁具有安全性高、成本低、功耗低、易操作等优点,同时还引入了智能化管理、专家分析系统等功能,从而使密码锁具有很高的安全性、可靠性,应用日益广泛。 2.整体设计原理及方案 2.1 整体设计原理 本设计主要由单片机、4*4矩阵键盘、LED和密码存储等部分组成。其中矩阵键盘用于输入数字密码和进行各种功能的实现。由用户通过连接单片机的矩阵键盘输入密码,后经过单片机对用户输入的密码与自己保存的密码进行比较,从而判断密码是否正确,然后控制引脚的高低电平传到开锁电路或者报警电路控制开锁还是报警。 2.2 整体设计方案 在单片机的外围电路外接输入键盘用于密码的输入和一些功能的控制,外接LED数码管用于显示作用,连线时LED1接P1.1,LED2接P1.2,LED3接P1.3。当用户需要开锁时,先按键盘的数字键任意输入密码,密码输完后按下确认键,若输入正确则开门;不正确

《微处理器系统设计课程设计》教学大纲

《微处理器系统设计课程设计》教学大纲 Course Design of Microprocessor System Design 课程编号:DZ240130 适用专业:集成电路设计与集成系统 先修课程:计算机设计与实践学分数:2 总学时数:2周实验(上机)学时:2周 考核方式:系考 执笔者:刘有耀编写日期:2012-07-8 一、课程性质和任务 本课程设计是计算机组成与设计课程的实践教学环节,其目的是使学生通过硬件描述语言设计以MIPS处理器为核心的SoC系统,掌握微处理器的工作原理和多周期微处理器设计的基本原理并建立整机概念,掌握通过状态机描述进行处理器控制单元设计的基本方法,并且通过SoC的设计掌握FPGA设计方法以及仿真调试方法,掌握通过硬件描述语言实现硬件电路的方法,培养学生的硬件设计、调试和运行维护等多方面的技能,同时训练一定的工程设计能力。也使学生系统科学地受到分析问题和解决问题的训练。 二、课程教学内容和要求 主要内容:主要包括指令系统的设计、指令流程的设计、运算器设计、寄存器设计、多周期微处理器的微控制器设计、微处理器辅助电路设计、微处理器的仿真调试、存储器的设计、处理器外围接口的设计与调试、SoC的整体设计与调试。 基本要求:通过本课程设计学生深入分析多周期MIPS处理器工作原理和组成结构,学会用FPGA实现SoC的方法和流程,掌握计算机工作原理、处理器指令系统以及处理器的设计方法。 重点:通过SoC的整体设计掌握多周期微处理器的工作原理和设计方法以及FPGA的使用方法。 难点:多周期微处理器的工作原理和多周期微处理器控制器的

设计与实现以及SoC的设计与调试等内容。 具体内容及要求如下: 1)课堂讲解,学生选择设计题目,熟悉实验内容(6学时) 主要介绍MIPS指令系统、MIIPS处理器结构、MIPS处理器的SoC 整体结构,可综合Verilog HDL语言简介、基于Verilog HDL的处理器设计方法及设计流程、仿真平台及验证平台简介等。 2)指令系统设计和指令流程设计以及数据通路设计(12学时) 主要详细介绍MIPS指令系统、指令执行流程以及指令实现方法、按指令分类的处理器数据通路设计以及处理器总体通路设计。 3)微处理器基本单元设计以及微处理器的多周期设计(12学时)着重介绍通过硬件描述语言实现微处理器基本单元模块设计的方法,进行寄存器设计、运算器设计等微处理器的多周期设计。 4)微处理器的控制单元设计以及微处理器的仿真与调试(12学时) 着重介绍通过状态机描述方法进行多周期微处理器控制其单元的设计以及使用仿真工具对微处理器进行仿真与调试。 5)外围电路的设计以及SoC的调试和运行(12学时) 主要介绍基于FPGA的存储器设计方法、处理器外围电路的设计方法,进行存储器、串口等IO接口设计、SoC的设计与仿真以及SoC 在FPGA上的调试与运行。 6)SoC的验收和评分(6学时) 主要考查学生对设计内容以及设计方法的了解情况,考查学生对流水线微处理器的工作原理和设计方法的理解程度。依据学生对设计内容的理解程度、所实现微处理器指令系统的难易程度以及实现SoC外围功能的丰富程度进行验收和评分。 三、各教学环节的学时分配 本课程设计属于实践课程,教学环节集中安排在2周进行。为保证达到预计的教学目的,课程设计可以分组进行,以小组为单位分别进行资料的收集、方案论证、实验及改进。具体实践教学的学时分配如下表:

嵌入式课程设计基于ARM9S3C2410微处理器设计

基于ARM9的S3C2410微处理器的设计 摘要 嵌入式系统(Embedded System)是一种包括硬件和软件的完整的计算机系统,它的定义是:“嵌入式系统是以应用为中心,以计算机技术为基础,并且软硬件可剪裁,适用于应用系统对功能、可靠性、成本、体积和功耗有严格要求的专用计算机系统。”嵌入式系统所用的计算机是嵌入到被控对象中的专用微处理器,但是功能比通用计算机专门化,具有通用计算机所不能具备的针对某个方面特别设计的、合适的运算速度、高可靠性和较低比较成本的专用计算机系统。嵌入式系统是以应用为中心,与计算机技术为基础,软硬件可配置,对功能、可靠性、成本、体积、功耗有严格约束的专用系统,所用的计算机称为嵌入式计算机。传统的计算机分类是按照计算机的处理字长、体系结构、运算速度、结构规模、适用领域进行的,如通常所说的大型计算机、中型机、小型机和微型计算机,并以此标准来组织学科和产业分工。 关键词:嵌入式系统;嵌入式浏览器;微处理器;ARM9

目录 1嵌入式简介 (1) 1.1嵌入式系统的概念 (1) 1.2嵌入式系统的架构 (1) 1.3嵌入式系统的发展历程及现状 (1) 1.4嵌入式操作系统的特点 (2) 1.5嵌入式系统的应用领域 (2) 1.6嵌入式系统在机顶盒中的应用 (3) 1.7嵌入式系统的发展趋势 (3) 2 ARM处理器 (4) 2.1ARM处理器特点 (4) 2.2ARM体系结构的扩充 (4) 3 RAM和ROM总线外接图 (5) 4 ARM I/O结构 (6) 5 S3C2410A提供一组完整的系统外围设备 (6) 6 S3C2410的I/O口工作原理 (8) 总结 (9) 致谢 (10) 参考文献 (11)

简单微处理器的设计

简单微处理器的设计 Revised as of 23 November 2020

简单微处理器的设计摘要:本课程设计采用EDA技术设计简单微处理器。系统设计采用自顶向下的设计方法。它由数的输入,数的比较,数的交换和结果输出四部分组成。系统实现采用硬件描述语言VHDL把系统电路按模块化方式进行设计,然后进行编程、时序仿真并分析。系统结构简单,使用方便,功能齐全,精度高,具有一定的应用价值。 关键词:处理器;输入;比较;交换 目录

1 引言 随着社会的发展,科学技术也在不断的进步。特别是计算机产业,可以说是日新月异,而处理器,作为计算机中的一个重要部分,其性能从很大程度上决定了计算机的性能。本设计介绍的简单微处理器,要求具有以下验证程序所要求的功能:输入包含10个整数(无符号数)的数组M,按从小到大的顺序输出这10个数。 课题设计的背景、目的 微处理器技术的发展是与微电子技术即大规模集成电路技术的发展分不开的。微电子技术以每18个月集成度提高一倍的速度迅速发展。20世纪80年代初,主要是16位微处理器8086/8088。1985年推出了80386微处理器,完成了16位体系结构向32位体系结构的转变。1989年80486出现了。80486的设计目标是提高指令执行速度和支持多处理器系统。80486在芯片内部增加一个8KB的高速缓冲存储器(cache),还增加了相当于80387的浮点部件(FPU),在基本指令的实现上,采用硬布线逻辑而不是微程序技术。1993年3月,Intel公司推出了第一代“奔腾”微处理器(Pentium),微处理器技术发展进入了一个新的阶段。到目前为止,“奔腾”已有四代产品。“奔腾”的设计思想是把如何提高微处理器内部指令执行的并行性作为主导。指令执行的并行性越好,微处理器的性能就越高。 本次设计的目的就是了解并掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,学习VHDL基本单元电路的综合设计应用。通过对实用电子称的设计,巩固和综合运用所学课程,理论联系实际,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。通过课程设计深入理解VHDL语言的精髓,达到课程设计的目标。 课程设计的内容

嵌入式微处理器结构与应用课程设计报告

《嵌入式微处理器结构与应用》 课程设计报告 题目:电子菜单 一、课程设计的目的和主要内容: 目的:《嵌入式微处理器结构与应用》课程设计是软件工程本科专业的专业实践课程,结合在课程中学到的嵌入式系统的开发和调试方法,对学生在嵌入式系统设计和应用开发的基本技能方面进行综合的检验和实践。是学生在学习完《嵌入式微处理器结构与应用》课程之后的一个重要的实践环节。 要求学生在教师的指导下,综合运用已学过的嵌入式微处理器相关的各种知识和技能,以小组协作的方式完成一项较为完整、并具有一定难度的课程设计任务。使学生总结本课程的主要知识点,提高学生的实际动手能力,为学生今后进一步学习和从事嵌入式系统相关的研究与开发打下坚实的基础。 内容:基于实时操作系统,学会复杂应用程序的基本开发方法,结合课程实验学到的知识,编写一个能综合应用液晶屏、触摸屏、键盘并结合简单文件系统API函数的界面应用。要求具备基本的三个界面:初始界面、点菜界面、结束界面,基本实现点菜的全过程。 二、基本的设计思路或程序流程图描述: 基于MVC的设计模式,将程序分成三大独立的部分:模型(逻辑)部分、视图部分、控制部分。这三大部分的关系如下:

程序总流程图: 控制部分: 消息循环(); 触摸屏响应(); 键盘响应(); …… 逻辑部分: 选择菜(); 取消菜(); 获取总价(); …… 视图部分: 标志菜单条目(); 取消标志条目(); 滚动菜单(); …… 更新逻辑 更新视图

本人负责: 二、视图部分: 关闭线程 打开线程 点菜结束按钮 关闭按钮 翻页按钮 界面控件 菜单条目 拉动 单击 初始化程序, 打开启动画面线程 显示启动动画 加载菜单数据 关闭启动画面线程, 显示点菜画面 等待系统消息 判断消息类型 判断该菜单条目的状态,进行相应的逻辑和视图更新 键盘消息 判断操作类型 触摸屏消息 判断单击内容 进行菜单的上下滚动 判断控件类型 进行菜单的翻页 结束程序 显示点菜结束画面和总价 重置逻辑数据,显示最初的点菜界面 显示程序结束画面

计算机组成原理课程设计vhdl设计cpu

目录 1. 课程设计目的 (3) 2. 开发工具选择 (3) 3. 方案选择 (3) 4.指令系统设计 (4) 5. 模型机框图设计 (4) 6. 指令流程图 (5) 7.微指令格式(微程序控制器)设计 (6) 8.微程序(微程序控制器)设计 (7) 9. VHDL程序代码 (9) 10. 调试仿真 (16) 11. 课程设计回顾总结 (18) 参考文献 (18)

1. 课程设计目的 (1)、计算机组成原理课程设计的主要任务是让学生通过动脑和动手解决计算机设计中的实际问题。综合运用所学计算机组成原理知识,在掌握部件单元电路实验的基础上,进一步将其组成系统构造一台基本的模型计算机,掌握整机概念,并设计机器指令系统,编写程序,在所设计的模型计算机上调试运行。 (2)、通过一台模型机的设计过程,明确计算机的控制原理与控制过程,巩固和灵活应用所学的理论知识,掌握计算机组成的一般设计方法,提高学生设计能力和实践操作技能,为从事计算机研制与设计打下基础。 2. 开发工具选择 使用QUARTUS 5.0软件编写并调试VHDL程序,然后做功能仿真。 3. 方案选择 本次实习的内容为16位模型计算机的设计,单总线,采用微程序控制方式,有四种寻址方式:直接寻址、寄存器寻址、寄存器间接寻址和变址寻址。 微程序控制方式由微指令译码产生。微程序中一条机器指令往往分成几步执行,将每一步操作所需的若干为命令以代码编写在一条微指令中,若干条微指令组成一段微程序,对应一条机器指令。然后根据系统的需要,事先编制各段微程序,将它存入一个专用寄存器(即控制存储器)中。 微程序执行过程:如图1所示,为微程序控制基本框: (1)从控存中逐条取出“取指令操作”,执行取指令公共操作。 (2)根据指令的操作码,经过微地址形成部件,得到这条指令的入口地址,并送入微地址寄存器中。 (3)从控存中逐条的取出对应的微指令并执行。 (4)执行完一条机器指令对应的微程序后又回到取指微程序的入口地址,继续第(1)步,以完成取下一条机器指令的公共操作。

微机原理及应用课程设计报告最终版要点

《微机原理及应用》课程设计报告 题目LED16*16点阵实验 学院电子信息工程学院 专业电子信息工程 组长姓名和学号 学生姓名和学号 指导教师 2015 年 1 月 22 日

目录 1 选题目的、意义及任务 (1) 1.1 选题目的 (1) 1.2 选题意义 (1) 1.3 设计任务 (1) 2 方案设计 (2) 2.1设计思路......................... . (2) 2.2总体设计 (3) 2.3设计论证 (3) 2.4硬件连接 (3) 3 设计流程 (6) 3.1程序流程图 (6) 4 主程序分析 (7) 4.1程序分析 (7) 4.2功能解释 (9) 5 调试结果 (9) 5.1硬件、软件实现 (9) 5.2结果图 (10) 6 团队构成 (10) 7 问题分析 (11) 8 心得体会 (11) 8 附录 (14)

1 选题目的、意义及任务 1.1 选题目的 本次微机原理及应用课程设计我们组的选题是LED16*16点阵实验。LED点阵通过LED(发光二极管)组成,以灯珠亮灭来显示文字、图片、动画、视频等,LED点阵常常被用来做点阵屏。点阵屏是各部分组件都模块化的显示器件,通常由显示模块、控制系统及电源系统组成。LED点阵显示系统中各模块的显示方式有静态和动态显示两种,静态显示原理简单、控制方便,但硬件接线复杂,在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的脉冲信号,反复循环以上操作,就可以显示各种图形或文字信息。对于我们的课程设计主要目的如下: ①熟悉8155、8255的功能,了解点阵显示的原理及控制方法; ②学会使用LED点阵,通过编程显示不同字符; 1.2选题意义 LED显示屏具有亮度高、工作电压低、功耗小、微型化、易与集成电路匹配、驱动简单、寿命长、耐冲击、性能稳定等特点。广泛应用于车站、码头、机场、商场、医院、宾馆、银行、证券市场、建筑市场、拍卖行、工业企业管理和其它公共场所。因此16*16LED点阵实验的课程设计对实际的生产和生活具有非常重要的指导意义。通过LED16*16点阵实验来让我们更好地理解微机工作的原理,并更深层次的了解各大芯片的用法以及功能。 1.3设计任务 设计一个能显示16X16点阵图文LED显示屏,要求能显示文字,文字应稳定、清晰,文字以卷帘形式向上滚动显示“欢迎使用星研实验仪”。

微机原理+课程设计+数字钟

目录 一、设计要求 (1) 二、设计所用芯片。。。。。。。。。。。。。。。。。。。 2 三、设计思想。. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 四、设计所用芯片结构 (3) 1、8259A芯片的内部结构及引脚 (3) 2、8279芯片的内部结构及引脚 (4) 3、8253芯片的内部结构及引脚 (5) 五、系统各个模块设计 (6) 1、系统总体设计 (6) 2、主控模块 (7) 3、CPU模块 (7) 4、定时模块 . . . . . . . . . . . . . . . . . . . . . . . . 9 5、中断处理模块。。。。。。。。。。。。。。。。。。9 6、显示模块和小键盘模块··········错误!未定义书签。 六、程序流程图················错误!未定义书签。 1、主程序流程图··············错误!未定义书签。 2、定时中断服务程序流程图 (14) 七、设计总结 (14) 附录一:参考书目 (15) 附录二:总体图 . . . . . . . . . . . . . . . . . . . . . . . .15 附录三:源代码............17

一、设计要求 利用试验平台提供的硬件资源设计一个电子表。该电子表以时、分、秒的形式实时显示当前时间,且具有校表功能,利用小键盘与标准时间校准。 掌握8255、8259、8253芯片使用方法和编程方法,通过本次课程设计,学以致用,进一步理解所学的相关芯片的原理、内部结构、使用方法等,学会相关芯片实际应用及编程,系统中采用8088微处理器完成了电子钟的小系统的独立设计。同时并了解综合问题的程序设计掌握实时处理程序的编制和调试方法,掌握一般的设计步骤和流程,使我们以后搞设计时逻辑更加清晰。 二、设计所用芯片 8253定时器:用于产生秒脉冲,其输出信号可作为中断请示信号送IRQ2。 8279接口芯片:实现键盘输入和显示输出。 8259中断控制器:用于产生中断。 LED:六个LED用于显示时:分:秒值。 小键盘:用于控制设置。 三、设计思想 1、设计思想 本系统设计的电子时钟以8088微处理器作为CPU,用8253做定时计数器产生时钟频率,8279做可编程并行接口显示时钟和键盘电路,8259做中断控制器产生中断。在此系统中,8253的功能是定时,接入8253的CLK信号为周期性时钟信号。8253采用计数器0,工作于方式2,使8253的OUT0端输出周期性的负脉冲信号。即每隔20ms,8253的OUT0端就会输出一个负脉冲的信号,此信号接8259的IR2,当中断到50次数后,CPU即处理,使液晶显示器上的时间发生变化。 其中8259只需初始化ICW1,其功能是向8259表明IRx输入是电平触发方式还是上升沿触发方式,是单片8259还是多片8259。8259接收到信号后,产生中断信号送CPU处理。 2、设计原理 利用定时器8253和8259以及键盘和数码显示电路,设计一个电子时钟,由8253中断定时,小键盘控制电子时钟的启停及初始值的预置。 电子时钟的显示格式HH:MM:SS由左到右分别为时、分、秒,最大记时59:59:59超过这个时间时分秒位都清零从00:00:00重新开始。 设计一个定时显示装置,用六个数码管显示时间,用小键盘控制计时,设置和显示时间。系统一运行就从00点00分00秒开始计时,并在数码管上显示时、分、秒当前值,而且,可以用键盘设置当前时间。 基本工作原理:每百分之一秒对百分之一秒寄存器的内容加一,并依次对秒、分、小时寄存器的内容加一;六个数码管动态显示时、分、秒的当前值。 C键:置初值,显示00:00:00 G键:显示动态变化(启动表); D键:显示静态不变(表停止); E键:终止程序,返回DOS; P键:设置时:分:秒的值

微机原理模拟计算器设计课程设计

课程设计任务书 课程名称微机原理及应用 院部名称机电工程学院 专业电气工程及其自动化 班级吴映阳 指导教师李国利 金陵科技学院教务处制 摘要 学了微型计算机原理与应用课程之后,为了巩固和检测所学知识,我选择基于8088CPU的模拟计算器设计。要完成设计首先需要构建简单的微型计算机应用系统,其次是确定组成各部件的芯片,然后画原理图并且用仿真软件仿真。仿真正确后再连接硬件电路,电路连接完成后进行调试。设计过程中我们用到了8088CPU、可编程计时器8253、可编程并行输入/输出芯片8255A、74LS138、2*8矩阵式键盘、六位七段LED数码管。原理图设计完成之后用PROTUES仿真软件对原理图进行了仿真得到了预期的结果。我们的模拟计算器能实现5位十进制数以内的及减法运算和2位十进制数以内的乘除法运算。

关键词:模拟计算器,8088CPU,PROTUES,8255A 目录 一、概述 (4) 二、硬件电路 (4) 2.1、C PU控制模块 (4) 2.2、键盘输入模块 (6) 2.3、可编程并行通信接口芯片8255A (7) 2.4、可编程计数器/定时器 (8) 2.5、总原理图 (9) 三、软件设计框图 (10) 四、源程序 (11) 五、调试过程 (26) 六、课程设计体会 (26) 七、参考文献 (27)

模拟计算器设计 一、概述 设计思路:首先利用程序不断扫描键盘是不是有输入,如果没有就一直扫描,如果有就停止扫描,完成输入,利用汇编的程序核对输入键的数值,通过调用子程序实现5位十进制数以内的及减法运算和2位十进制数以内的乘除法运算。运算完成后将运算的结果储存并显示到LED显示器上。 二、硬件电路设计 硬件电路键盘输入及LED数码管通过8255A接口与系统总线连接,键盘的16个按键组成8*2矩阵,其中8根矩阵线作为8255A的输出线与PB7~PB0连接,2根矩阵线作为8255A 的输入线与PC7、PC6连接。键盘采用逐次扫描原理,16个按键中0~9座位数字健,+、-、*、/、=作为加、减、乘、除和等号功能键,C为清零键。1、CPU控制模块 16位微处理器,内含29000个晶体管,时钟频率为 4.77MHz,地址总线为20位,可使用1MB内存。8088内部数据总线都是16位,外部数据总线是8位。

相关文档
相关文档 最新文档