文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑模拟试题

数字逻辑模拟试题

数字逻辑模拟试题
数字逻辑模拟试题

数字逻辑模拟试题

一.单项选择题

1.表示任意两位无符号十进制数至少需要( )二进制数。 A .6 B .7 C .8 D .9 2.余3码10001000对应的2421码为( )。

A .01010101 B.10000101 C.10111011 D. 11101011 3.下列四个数中与十进制数(72)10相等的是( ) A .(01101000)2 B.(01001000)2 C.(01110010)2 D.(01001010)2

4.某集成电路芯片,查手册知其最大输出低电平U OLmax =0.5V ,最大输入低电平U ILmax =0.8V ,最小输出高电平U OHmin =2.7V ,最小输入高电平U IHmin =2.0V ,则其高电平噪声容限U NH =( )

A .0.3V B.0.6V C.0.7V D.1.2V 5.标准或-与式是由( )构成的逻辑表达式。

A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 6.根据反演规则,的反

函数为( )。

A. B. C. D.

7、对于TTL 或非门多余输入端的处理,不可以( )。 A 、接电源 B 、通过0.5k Ω电阻接地 C 、接地 D 、与有用输入端并联

8.下列四种类型的逻辑门中,可以用( )实现三种基本逻辑运算。

()

()E

DE C C A F ++?+=E )]E D (C C [A F ?++=E

)E D (C C A F ?++=E

)E D C C A (F ?++=E

)(D A F ?++=E C C

A. 与门

B. 或门

C. 非门

D. 与非门

9.将D触发器改造成T触发器,图1所示电路中的虚线框内应是()。

A. 或非门

B. 与非门

C. 异或门

D. 同或门

10.以下电路中可以实现线与功能的有()。

A.与非门

B.三态输出门

C.传输门

D.漏极开路门

11.要使JK触发器在时钟作用下的次态与现态相反,JK端取值应为()。A.JK=00 B. JK=01 C. JK=10 D. JK=11

12.设计一个四位二进制码的奇偶校验器,需要()个异或门。

A.2 B. 3 C. 4 D. 5

13.相邻两组编码只有一位不同的编码是( )

A.2421BCD码 B.8421BCD码 C.余3码 D.循环码

14.下列电路中,不属于时序逻辑电路的是( )

A.计数器 B.全加器 C.寄存器 D.RAM

15.一个4位移位寄存器,现态为0111,经右移1位后其次态为( ) A.0011或1011 B.1101或1110

C.1011或1110

D.0011或1111

16.为了将正弦信号转换成与之频率相同的脉冲信号,可采用( ) A.多谐振荡器 B.移位寄存器

C.单稳态触发器

D.施密特触发器

17.一个6位地址码、8位输出的ROM ,其存储矩阵的容量为( )bit.

A .64×8 B.48 C.256 D.8

18.某8位DAC ,当输入全为1时,输出电压为5.10V ,当输入D= (10000000)2时,输出电压为( )

A .5.10V B.2.56V C.1.28V D.都不是 19.PROM 是一种__________可编程逻辑器件。( ) A .与阵列可编程、或阵列固定的 B.与阵列固定、或阵列可编程的

C.与、或阵列固定的

D.与、或阵列都可编程的 20、ROM 不能用于_________。

A. 函数运算表

B. 存入程序

C. 存入采集的动态数据

D. 字符发生器

二.多项选择题

1.逻辑函数F=A⊕B 和G=A⊙B 满足关系( )。 A. G F

= B. G F =' C. G F =' D. 1G F ⊕=

2.函数

则F 和G 相“与”的结果是( )。

A .

32m m + B . 1 C . B A D . AB

3.设两输入或非门的输入为x 和y ,输出为z ,当z 为低电平时,有( )。 A .x 和y 同为高电平 ; B . x 为高电平,y 为低电平 ; C .x 为低电平,y 为高电平 ; D . x 和y 同为低电平.

∑∑==5,7),m(0,2,3,4,C)B,G(A,,m(1,2,3,6)C)B,F(A,

4.组合逻辑电路的输出与输入的关系可用()描述。

A.真值表 B. 流程表 C.逻辑表达式 D. 状态图

5. TTL电路在正逻辑系统中,以下输入中()相当于接1。

A.悬空 B. 通过3kΩ电阻接电源

C.通过3kΩ电阻接地 D. 通过510Ω电阻接地

三.填空题

1.数字逻辑电路可分为组合和__________两大类。

2.用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫__________。3.四变量逻辑函数的标准与或式为F(a,b,c,d)=∑m(0,2,3,4,6,8,9,11,13) ,其标准或与式为__________,它的反函数的标准与或式为________________。

4.三态逻辑门输出有三种状态:0态、1态和__________。

5.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现的虚假过渡干扰脉冲的现象称为__________。

6.根据需要选择一路信号送到公共数据线上的电路叫__________。

7、16个触发器构成计数器,该计数器可能的最大计数模值是__________。

8.触发器按功能分可分为RS、D、JK、T和__________。

9.某计数器的输出波形如图1所示,该计数器是__________进制计数器。

10.Moore 型时序逻辑电路的输出仅仅取决于__________,而不受电路当时的输入信号影响或没有输入变量。

11.对于一个频率有限的模拟信号,设其最高频率分量的频率为f max ,在取样后为了无失真地恢复原始输入信号频谱,取样时必须满足取样频率:f s ≥__________。 12.为了构成8K ×16bit 的RAM ,需要_____片1K ×8bit 的RAM ,地址线的高_____位作为地址译码的输入,地址译码使用的是___线-___线译码器。 13.在A/D 转换中,最小量化单位为Δ,如果使用四舍五入法,最 大量化误差为___,如果使用只舍不入法,最大量化误差为___。 14、10位A/D 转换器中,已知输出为258H 时,对应的输入电压为 1.2V ,则当输入的电压为1.8V 时,输出的数字量是__________H 。 15.74LS138是3线-8线译码器,译码输出为低电平有效,若输入 A 2A 1A 0=100时,输出01234567Y Y Y Y Y Y Y Y =__________。

16.8线—3线优先编码器74LS148的优先权顺序是I 7,I 6,??I 1, I 0 ,输入低电平有效,输出Y 2 Y 1 Y 0为二进制反码输出。当I 7I 6I 5I 4I 3I 2 I 1I 0 为11010101时,输出 Y 2 Y 1 Y 0=______

四、分析题

1.用图形法将下列逻辑函数化成最简“与或”式。F(A,B,C,D)=∑m(0,2,4,5,6,7,12)+∑d(8,10)

2.分析图中所示电路的逻辑功能。列出真值表,写出电路输出函数S 的逻辑表达式。

3、分析图中所示的组合逻辑电路,要求:

(1)写出输出Y1、Y2的表达式。

(2)列出真值表

(3)说明电路逻辑功能

4.根据图中所示4选1数据选择器实现的组合电路,写出输出E表达式并化成最简“与或”表达式。

5、时序电路如图所示,写出各触发器的驱动方程、该电路的状态方程,并画出状态转换图,说明电路功能(设各触发器的初态均为0)。

6、电路如图所示,已知CP端输入脉冲的频率为10kHz,试分析当输入控制信号A,B,C,D,E,F,G,H,I分别为低电平时,Y端输出的脉冲频率各为多少?并说明电路的逻辑功能。

B

I

五、设计题

1.在举重比赛中,有甲、乙、丙三位裁判,其中甲为主裁判,当两位或两位以上裁判(其中必须包括主裁判在内)认为运动员上举合格,才可发出合格信号,用最少的与非门设计满足上述要求的组合逻辑电路。

2、试用PLA设计一个满足以下要求的译码电路。该电路输入信号DCBA为4位二进制码。输出信号Y1、Y2、Y3在下列几种情况下有确定的状态:

①当DCBA所对应的十进制数为1~3时,Y1=1,Y2=Y3=0;

②当DCBA所对应的十进制数为6~8时,Y2=1,Y1=Y3=0;

③当DCBA所对应的十进制数为11~13时,Y3=1,Y1=Y2=0。

3.用同步四位二进制计数器74161构成初始状态为0100的七进制计数器。画出状态转换图和连线图。

4.用同步四位二进制计数器74160构成48进制的计数器。画出状态转换图和连线图。

5、用八选一数据选择器74HC151设计一个函数发生器电路,S1、S2为控制端,A、B为逻辑变量输入端,Y 为函数发生器输出端,要实现的功能如图所示。

6、图(a)是一个序列信号产生电路的框图,其输出L与时钟脉冲CP的波形如图(b)所示。试用边沿D触发器和中规模组合逻辑器件设计该时序电路。

六、作图题

1、图中(d)所示A、B、C信号为图(a)、(b)、(c)各电路的输入波形。分析电路,试对应画出L1、L2和L3的输出波形。

(d)

2、触发器电路及输入信号的波形如图所示,试分别画出D触发器的Q和Q1的波形。

3.由集成定时器555的电路如图7所示,请回答下列问题。

(1)构成电路的名称;

(2)已知输入信号波形u I,画出电路中u O的波形(标明u O波形的脉冲宽度);

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

数字逻辑模拟试题

数字逻辑模拟试题 一.单项选择题1.表示任意两位无符号十进制数至少需要()二进制数。 A .6 B.7 C.8 D.9 2.余3码10001000对应的2421码为()。 A .01010101 B.10000101 C.10111011 D. 11101011 3.下列四个数中与十进制数(72)10 相等的是()A.(01101000)2 B. (01001000)2 C.(01110010)2 D. (01001010)2 4.某集成电路芯片,查手册知其最大输出低电平U oLmax =0.5V,最大输入低电平U lLmax =0.8V,最小输出咼电平U oHmi n= 2.7V,最小输入高电平U lHmi n= 2.0V,则其高电平噪声容限U NH=() A.0.3V B.0.6V C.0.7V D.1.2V

5 ?标准或-与式是由()构成的逻辑表达式。 A ?与项相或 B.最小项相或 C.最大项相与 D.或项相与 6.根据反演规则, F A C C DE E的反函数为()。 A. F [AC C(D E)]E B.F AC C(D E)E C. F (AC CD E)E D.F AC C(D E)E 7、对于TTL或非门多余输入端的处理,不可以()( A、接电源 B、通过0.5k Q电阻接地 C、接地 D、与有用输入端并联 8?下列四种类型的逻辑门中,可以用()实现三种基本逻辑运算。 A.与门 B.或门 C.非门 D.与非门 9.将D触发器改造成T触发器,图1所示电路中的虚线框内应是()。

A.或非门 B.与非门 C.异或门 D.同或门 10.以下电路中可以实现线与功能的有()。 A. 与非门 B.三态输出门 C.传输门 D.漏极开路门 11 ?要使JK触发器在时钟作用下的次态与现态相反, JK端取值应为()。 A. JK=00 B. JK=01 C. JK=10 D. JK=11 12?设计一个四位二进制码的奇偶校验器,需要()个异或门。 A . 2 B. 3 C. 4 D. 5 13.相邻两组编码只有一位不同的编码是() A. 2421BCD码 B.8421BCD码 C.余3 码 D.循环码14?下列电路中,不属于时序逻辑电路的是() A.计数器 B.全加器 C.寄存器 D.RAM

“数字逻辑”试题复习资料

………密………封………线………以………内………答………题………无………效…… 电子科技大学二零零六至二零零七学年第二学期期末考试 试卷评分基本规则 数字逻辑设计及应用课程考试题中文A卷(120分钟)考试形式:闭卷考试日期2007年7月日课程成绩构成:平时20 分,期中20 分,实验0 分,期末60 分 一、填空题(每空1分,共5分) 1、CMOS与非门的未用输入端应连接到逻辑(1)电平或者输入信号连接端上。 2、DAC的功能是将(数字)输入成正比地转换成模拟输出。 512 EPROM可存储一个(9 )输入4输出的真值表。 3、4 4、74X163的RCO输出有效条件是:仅当使能信号(ENT)有效,并且计数器的状态是15。 5、已知二进制原码为 ( 001101) 2 , 问对应的8-bit的补码为 ( 00001101 )2. 二、单项选择题:从以下题目中选择唯一正确的答案。(每题2分,共10分) 1、八路数据分配器的地址输入端有(B)个。 A. 2 B. 3 C. 4 D. 5 2、以下描述一个逻辑函数的方法中( C )只能唯一表示。 A.表达式 B.逻辑图 C.真值表 D.波形图 3、实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要的( B )。 A. 状态数目更多 B. 状态数目更少 C. 触发器更多 D. 触发器更少 4、使用移位寄存器产生重复序列信号“1000001”,移位寄存器的级数至少为(D)。 A. 2 B. 3 C. 4 D.5 5、下列各逻辑函数式相等,其中无静态冒险现象的是(D)。 A. F=B’C’+AC+A’B B. F=A’C’+BC+AB’ C. F=A’C’+BC+AB’+A’B D. F=B’C’+AC+A’B+BC+AB’+A’C’

北京邮电大学 数字逻辑期末模拟试题5

C 本科试题(五) 一、选择题(每小题2分,共20分) 1. A 3、A 2、A 1、A 0是四位二进制码,若电路采用奇校验,则校验位C 的逻辑表达式是___________。 A. B. C. D. 2. 要使3:8 线译码器(74LS138)能正常工作,使能控制端的 电平信号应是____________。 A. 001 B. 011 C. 100 D. 111 3. 最小项的逻辑相邻项是___________。 A. B. C. D. 4. 设,则它的非函数是___________。 A. B. C. D. 5. 下列各函数相等,其中无冒险现象的逻辑函数是___________。 A. B. C. D. 6. 为实现将D 触发器转换为T 触发器,图1所示电路的虚线框内应是_________。 a) 或非门 b) 与非门 c) 异或门 d) 同或门 7. 用计数器产生110010序列,至少需要________个触发器。 A. 2 B. 3 C. 4 D. 8 8. 从编程功能讲,E 2PROM 的与阵列________,或阵列________。 A. 固定,可编程 B. 可编程,固定 C. 可编程,可编程 D. 固定,固定 9. 在图 。 10123⊕⊕⊕⊕A A A A 0123A A A A ⊕⊕⊕00123⊕⊕⊕⊕A A A A 0123A A A A +++ B A G G G 221,,D C B A ABC D CD B A D C B A D C B A D C AB F +=D C B A F +?+=)()(D C B A F +?+=)()(D C B A F +?+=D C AB F +=CD C B AC F ++=D AC C B CD F ++=AB BD CD C B AC F ++++=BD D C B CD AC F +++=n n Q Q =+1图1 T A B D

数字逻辑与数字电路复习题

数字逻辑复习题*红色表示知识点说明文字01数制码制和逻辑代数533 多选题34 1.下列BCD码中有权码有( )。 A.8421BCD B.余3BCD C.5211BCD D.格雷(循环)码 \\AC 2.下列BCD码中无权码有( )。 A.8421BCD B.余3BCD C.5211BCD D.格雷(循环)码 \\BD 3.下列二进制数中是奇数的有( )。 A.00101001111110101 B.00010000110111010 C.10111011111101 D.1000000011110101 \\ACD 4.下列8421BCD码中是偶数的有( )。 A.010********* B.10000110111010 C.011101111110 D.001000111101 \\BC 5.下列十六进制数中是奇数的有( )。 A.37F B.2B8 C.34E D.FF7

\\AD 6.下列十六进制数中是偶数的有( )。 A.37F B.2B8 C.34D D.F3E \\BD 7.比十进制数0.1D大的数是( )。 A.二进制数0.1B B.8421BCD码0.0001 C.八进制数0.1Q D.十六进制数0.1H \\AC 8.比十进制数10D小的数是( )。 A.十六进制数10H B.二进制数10B C.8421BCD码00010000 D.八进制数10Q \\BD 9.5211BCD码的特点是( )。 A.具有逻辑相邻性B.具有奇偶校验特性 C.是一种有权码D.按二进制数进行计数时自动解决了进位问题\\CD 10.余3BCD码的特点是( )。 A.当作二进制码看比等值的8421BCD码多3 B.是一种有权码C.按二进制进行加法时自动解决了进位问题D.具有逻辑相邻性\\AC 11.格雷(循环)码的特点是( )。

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

数字逻辑复习资料

请大家自觉看光盘上的PPT和老师布置的作业和答案以及课本 第一章基本知识 1.1了解 1.2数制及其转换 1.2.1进位计数制: △基数:指计数制中所用到的数字符号的个数。如R进制,R即为基数。 △位权:指在一种进位计数制表示的数中,用来表明不同数位上数值大小的一个固定常数。了解二进制、八进制、十六进制。 举个简单的例子:二进制数1011.01可以表示成: (1011.01)2=1X23+0X22+1X21+1X20+0X2-1+1X2-2 1.2.2数制转换: ①、十进制转换为二进制数(整数部分采用除2去余法,小数部分采用乘2取整法):具体见下图:

②、二进制与八进制、十六进制之间的转换:具体见课本P9。 1.3带符号二进制数的代码表示 主要掌握原码、反码、补码,具体见课本P13(切记0表示正,1表示负) 1.4几种常见的编码: 主要掌握8421码,余3码,格雷码。 下面是二进制数与格雷码的转化过程,二进制数的最高位和格雷码的最高位相同,然后接下来的各位数都要二进制数所在那位同前一位异或产生的结果 作业:P18 1.5 1.7 1.9 1.12 第二章逻辑代数基础 2.1逻辑代数的基本概念 前几节主要是记住一些公理和定理,这也为后面的复合运算打下基础 逻辑代数L式一个封闭的代数系统,它有一个逻辑变量集K,常量0和1以及“与”“或”“非”3种基本运算所构成,记为L={K,+,·,-,0,1} 五条基本公理:课本P19 2.1.1逻辑变量及基本逻辑运算:课本P20 2.1.3逻辑函数的表示法:(常见方法有逻辑表达式、真值表、卡诺图3种)P23有说明,

具体后面讲 2.2逻辑代数的基本定理和规则 2.2.1基本定理:记住8个基本定理,课本P24 2.2.2重要规则(1.、代入规则;2、反演规则; 3.、对偶规则)其中2、反演规则;3.、对偶规则比较重要 反演规则:如果将逻辑函数F表达式中所有的“·”变成“+”,“+”变成“·”,“0”变成“1”,“1”变成“0”,原变量变成反变量,反变量变成原变量(黑体字即为反演规则与对偶规则的区别之处) 对偶规则:如果将逻辑函数F表达式中所有的“·”变成“+”,“+”变成“·”,“0”变成“1”,“1”变成“0”, ①.异或逻辑:变量A、B取值相同,F为0;变量A、B取值相异,F为1; ②.同或逻辑:变量A、B取值相同,F为1;变量A、B取值相异,F为0 具体见P28 2.3逻辑函数表达式的形式和变换 2.3.1逻辑函数表达式有两种基本形式:“与-或表达式”(顾名思义先与后或)和“或-与表达式”(先或后与) 最小项和最大项的定义和性质,要知道什么是最小项和最大项,具体看课本P29, 最大项与最小项之间存在互补关系(这句话在后面的知识经常用到) △逻辑函数表达式的标准形式 (1)、(这个概念老师上课特别提问了好几次的)标准与-或表达式:由若干个最小项相或构成的逻辑表达式称为标准与-或表达式,也叫最小项表达式。 具体内容P31 2.3.3逻辑函数表达式的转换 1.代数转换法 2.真值表转换法(重点)P33 2.4逻辑函数化简: 这一节主要掌握卡诺图的化简法,而且是整章的重点,绝对考 具体内容见课本例子,课本上已经讲的很详细了P37开始 作业:P48 2.2(1)(2) 2.3 2.4 2.6(1)(3) 2.7 2.8(1)(3) 2.11 第三章集成门电路与触发器 3.1-3.2数字集成电路的分类: 1、根据采用的半导体器件分类:有双极型集成电路和单极型集成电路(即MOS集成电路)其实这两节主要是谈谈一些概念,大家理解就好了,关键还是后面两节 3.3逻辑门电路 这节主要掌握与门,或门,非门三种简单逻辑门电路以及TTL逻辑门集成电路,请务必记住各自的逻辑符号。 ①.与门:有两个以上输入端和一个输出端; ②.或门:有两个或两个以上输入端和一输出端; ③.非门:有一输入端和一输出端。 ④.典型TTL与非门:记住工作原理: 输入全高,输出为低; 输入有低,输出为高; 3.4触发器 这节务必记住4种触发器的逻辑功能和工作原理以及能画出输出端Q的波形图。

《数字逻辑》期末考试A卷参考答案

《数字逻辑》期末考试 A 卷参考答案 、判断题:下面描述正确的打’/,错误的打‘X’(每小题1分,共10 分) 1、为了表示104个信息,需7位二进制编码[V ] 2、BCD码能表示0至15之间的任意整数[X ] 3、余3码是有权码[X ] 4、2421码是无权码[X ] 5、二值数字逻辑中变量只能取值 6、计算机主机与鼠标是并行通信 7、计算机主机与键盘是串行通信8、占空比等于脉冲宽度除于周期0和1,且表示数的大小[X ] [X ] [V ] [V ] 9、上升时间和下降时间越长,器件速度越慢[V ] 10、卡诺图可用来化简任意个变量的逻辑表达式[X ] 、写出图中电路的逻辑函数表达式。(每小题5分,共10分) 1、F=A B 2、F= AB CD 2分,共20分)

1、在图示电路中.能实现逻辑功能F = ATH 的电路是 A ° TTL 电路 (A) F = ABCD (B) F = AH ? CD - (C) F= A + B + C + D (D) F = A + B ? C + D (E) F= A BCD 4 . 己知F 二ABC + CD ■可以肯定使F = 0的情况是 _° (A) A=0, BC= 1; (B) B= 1 , C= 1 } (C) AB= 1, CD=Q. (D) BC= 1 , D= 1 5、逻辑函数A B+BCD+A C+ B C 可化简为A,B,C,D 。 (A) AB + AC + BC (B) AB + C (A4-B) (C) AB + CAB A — O ? =1 1 Q A |— I 1 F ?- & 1 Q A B L I l — (B) 悬0 ---- 空 。— A — & Bo — Co- & B A 3 ?满足如图所示电路的输岀函数F 的表达式为丄3 B 1 o — V OF

09年数字逻辑期末试卷(A卷)试题及答案

09年数字逻辑期末试卷(A卷)试题及答案

华东师范大学期末试卷(A ) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑______ 学生姓名:___________________ 学 号:___________________ 专 业:___________________ 年级/班级:__________________ 课程性质:公共必修、公共选修、专业必修、专业选修 ………………………………………………………………………………………… 一、填空题 (20分,每空2分) 1. (34.5)10 = ( (1) 11 0100.0101 )8421BCD = ( (2) 100010.1 )2 = ( (3) 2 2.8 )16 。 2. ()Y A B C CD =++的对偶式为___(4)Y ’A C B C A D ''''''=++ 。 3. 在数字系统中,要实现线与功能可选用___(5)OC/OD 门;要实现总线结构可选用___(6)传输 门。 4. 化简F (A,B,C,D )=∑m(3,5,6,7,10)+d (0,1,2,4,8)可得 (7) F =A ’+B ’D ’ 。 5. 已知某左移寄存器,现态为011001,若空位补0,则次态为 (8)110010 。 6. 二进制数(- 10110)2的反码和补码分别为 (9)101001 和 (10)101010 。

二、选择题(20分,每题2分) 1.在下列逻辑部件中.不属于组合逻辑部件的是 D 。 A.译码器 B.编码器 C.全加器 D.寄存器 2.逻辑表达式A+BC = B 。 A.A+C B.(A+B)(A+ C) C.A+B+ABC D.B+C 3.能得出X=Y的是 C A.X+Z=Y+Z B.XZ=YZ C. X+Z=Y+Z且XZ=YZ D.以上都不能 4.为将D触发器转换为T触发器,图中所示电路的虚框内应是 _ A_。 A.同或门B.异或门 C.与非 门D.或非门 5.设A1、A2、A3为三个信号,则逻辑函数 C 能检测出这三个信号中 是否含有奇数个高电平。 A.A1A2A3 B.A1+A2+A3 C.A1⊕A2⊕A3 D.A1+A2A3 6.以下说法正确的是 C A.TTL门电路和CMOS门电路的输入端都可以悬空

数字电路模拟试题 ()

《数字逻辑分析与设计》模拟试题 一、 单项选择题 1. 只有在时钟的下降沿时刻,输入信号才能被接收,该种触发器是( )。 A. 高电平触发器 B.下降沿触发器 C. 低电平触发器 D. 上升沿触发器 2. 下列电路中,属于时序逻辑电路的是( ) A. 编码器 B. 译码器 C. 数值比较器 D. 计数器 3. 若将一个TTL 与非门(设输入端为A 、B )当作反相器使用,则A 、B 端应如何连接( ) A. A 、B 两端并联使用 B. A 或B 中有一个接低电平0 C. 不能实现 4. 在二进制译码器中,若输入有5位二进制代码,则输出有( )个信号。 A. 32 B. 16 C. 8 D. 4 5. 同步RS 触发器的“同步”时指( ) A. RS 两个信号同步 B. Qn+1与S 同步 C. Qn+1与R 同步 D. Qn+1与CP 同步 6. 不是最小项ABCD 逻辑相邻的最小项是( ) A. A BCD B. A B CD C. A B C D D. AB C D 7. 与A B C ++相等的为( ) A. A B C ?? B. A B C ?? C. A B C ++ 8. 测得某逻辑门输入A 、B 和输出F 的波形如图1所示,则F(A ,B)的表达式是( ) A. F=AB B. F=A+B C.B A F ⊕= D.B A F = 图1 9. 某逻辑函数的真值表见表1,则F 的逻辑表达式是( )。

A. AC AB F+ = B. C B AB F+ = C. AC B A F+ = D. AC B A F+ = 10. 要实现 n n Q Q= +1 )。 11. 可以用来实现并/( ) A. 计数器 B. 全加器 C. 移位寄存器 D. 存储器 12. 下列触发器中没有计数功能的是() A. RS触发器 B. T触发器 C. JK触发器 D. Tˊ触发器 13. 某逻辑电路输入A、B和输出Y的波形如图2所示,则此电路实现的逻辑功能是() A. 与非 B. 或非 C. 异或 D. 异 或非 图2 14. 若两个逻辑函数相等,则它们必然相同的是() A. 真值表 B. 逻辑表达式 C. 逻辑图 D. 电路图 15. 能将输入信号转变成二进制代码的电路称为() A. 译码器 B. 编码器 C. 数据选择器 D. 数据分配器 二、填空题 1. 完成下列数制之间的转换(25.25) 10 =() 2 =() 8 A B C F 0 0 0 0 1 0 1 0 1 1 1 1 1 1

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

西安电子科技大学网教数字逻辑电路模拟题资料

西安电子科技大学网教数字逻辑电路模拟 题

模拟试题一 一、单项选择题(每题 2分,共30分) 1 、下列数中最大的数是 [ ] 。 A ( 3.1 ) H B ( 3.1 ) D C (3.1) O D (11.1) B 2 、( 35.7 ) D 的余 3BCD 是 [ ] 。 A 00110101.0111 B 00111000.1010 C 00111000.0111 D 01101000.1010 3 、与非门的输出完成 F= , 则多余输入端 [ ] 。 A 全部接高电平 B 只需一个接高电平即可 C 全部接地电平 D 只需一个接地即可 4 、逻辑函数 F= + B 的最小项标准式为 [ ] 。 A F= B F= C F= D F= 5 、与 AB + AC +相等的表达式为 [ ] 。 A C B C + C D A + 6 、函数 F=(A + C)(B +) 的反函数是 [ ] 。 A G=( + B) ·+· B G=A + C + B · C G=(A +) · C + B · D G=(A ) ·+ (B+ ) 7 、逻辑函数的逻辑相邻项是 [ ] 。 A A C B A C B D D ABC

8 、已知输入 A 、 B 和输出 F 的波形如图所示, 其 F 与 AB 的逻辑关系为 [ ] 。 A 与非 B 或非 C 异或 D 同或 9 、下列逻辑部件属于时序电路的是 [ ] 。 A 译码器 B 触发器 C 全加器 D 移位寄存器 10 、数据选择器的功能是 [ ] 。 A 将一路输入送至多路输出 B 将输入二进制代码转换为特定信息输出 C 从多路输入选择一路输出 D 考虑低位进位的加法 11 、逻辑函数用卡诺图化简时,八个逻辑相邻项合并可消去 [ ] 。 A 一个变量 B 二个变量 C 三个变量 D 四个变量 12 、 JK 触发器从 0 1, 则激励端 J 、 K 的取值为 [ ] 。 A JK=1X B JK=X0 C JK=X1 D JK=0X 13 、移位寄存器的现态为 0110 ,经过左移一位后,其次态为 [ ] 。 A 0110 或 1011 B 1011 或 1010 C 0110 或 1110 D 1101 或 1100 14 、 4 级触发器组成计数器,组成 13 进制计数器,其无效的状态数为 [ ] 。 A 3 个 B 4 个 C 13 个 D 16 个 15 、 N 级触发器组成环形计数器,其进位模为 [ ] 。 A N B 2N C D 二、填空题(每题 2 分,共 10 分) 1. 格雷码的特征是 ________________ 。 2. F= =________________ 。

《数字逻辑电路》复习资料

《数字逻辑电路》复习资料 1. 十六进制数(1DE.58)16转换为二进制数为_________________。 2. TTL 与非门的多余输入端悬空时,相当于输入_______电平。 3. T 触发器的特性方程为:_________________,T ’触发器的特性方程为 _________________。 4. 时序逻辑电路由_____________和存储单元两部分组成。存储单元是由若干个 ________组成。 5. 用反馈置数法得到N进制计数器,确定置数代码是关键。对于同步清零而言, 以________为置数输出代码;对于同步清零而言,以________为置数输出代码。 答案: 1.(111011110.010101)2 2.高 3.n n Q T Q ⊕=+1;n n Q Q =+1 4.组合逻辑电路;触发器 5.N ;N-1 1.八进制(273)8中,它的第三位数2的位权为( ) A. (128)10 B. (64)10 C. (256)10 D. (8)10 2.已知逻辑表达式D C B A F +++=,与它逻辑功能相等的函数表达式是( ) A. D C B A F +++= B. D C B A F +++= C. D C B A F = D. D C B A F += 3.BC A F +=的对偶式为( ) A. BC A + B. )(C B A +? C. C B A ++ D. ABC 4.下列四个器件中,哪一项不可以用来作为数字电路中的基本开关单元( ) A. 二极管 B. 放大器 C. 三极管 D. 场效用管 5.对于n 位二进译编码器而言,它的输入和输出信号数目为( ) A. 2n 和n B. n 和2n C. n 和n D. 1 2 n +和n 6.对于下图所示波形,其中A 、B 是输入,C 是输出,其反应的输入、输出之间的逻辑关系是( ) B C A

数字逻辑期末试题及答案

西 安 金 戈 技 术 学 校 2008年秋季学期期末考试数字逻辑电路试卷 注意事项 1. 考试时间:120 分钟。 2. 本卷依据2005年颁布的《技工类院校考试要求》命制。 3. 请首先按要求在试卷的规定位置填写您的姓名、准考证号和所在班级。 4. 请仔细阅读各种题目的回答要求,在规定的位置填写您的答案。 5. 不要在试卷上乱写乱画,不要在试卷上填写无关的内容。 一. 判断: 1.数字电路抗干扰能力强的原因是只传递1和0的数字信息.. ( ) 2.BCD 码就是8421BCD 码. ( ) 3.卡诺图是真值表示的另一种形式,具有唯一性. ( ) 4.二极管可组成与门电路,但不能组成或门电路. ( ) 5.三极管非门电路中的三极管主要工作在放大状态. ( ) 6.组合逻辑电路中有具有记忆功能的逻辑部件. ( ) 7.译码是编码的逆过程. ( ) 8.触发器也称为半导体存贮单元. ( ) 9.同步触发器存在空翻现象,而边沿型触发器和主从型触发器克服了空翻. 10.JK 触发器的JK 端相当KS 触发器的SR 端. ( ) 二.选择: 1.若编码器编码输出位数为4位,则最多可对( )个输入信号编码. A.4 B.6 C.16 D.32 2.16选1数据选择器其地址输入至少应有( )位. A.2 B.4 C.8 D.16 3.半加器有( ),全加器有( ) A.2个输入端,2个输出端 B.2个输入端,3个输出端 C.3个输入端,2个输出端 D.3个输入端,3个输出端 4.二极管可组成的电路( ) A.只能是与门 B.只能是或门 C.与门和或门都可以 D.非门 5.通常能实现“线与”功能的门电路是( ) A.OC 门 BTSL.门 C.TTL 与门 6.BCD 码是( ) A.二进制码 B.十进制码 C.二一十进制码 7.下列逻辑函数表示方法中,具有唯一性的是(多选)( ) A.真值表 B.逻辑表达式 C.逻辑图 D.卡诺图 8.下列选项中不属于卡诺图特点的是( ) … … ……… ……考……………生… ……… …答……………题……………不……………准……………超……………过……………此……………线…………………

数字逻辑模拟试题

数字逻辑模拟试题 一.单项选择题 1.表示任意两位无符号十进制数至少需要()二进制数。 A.6 B.7 C.8 D.9 2.余3码10001000对应的2421码为()。A.01010101 B.10000101 C.10111011 D. 11101011 3.下列四个数中与十进制数(72)10相等的是( ) A.(01101000)2 B.(01001000)2 C.(01110010)2 D.(01001010)2 4.某集成电路芯片,查手册知其最大输出低电平U OLmax=0.5V,最大输入低电平U ILmax=0.8V,最小输出高电平U OHmin=2.7V,最小输入高电平U IHmin=2.0V,则其高电平噪声容限U NH=( )

A .0.3V B.0.6V C.0.7V D.1.2V 5.标准或-与式是由( )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 6.根据反演规则,的反函数 为( )。 A. B. C. D. 7、对于TTL 或非门多余输入端的处理,不可以( )。 A 、接电源 B 、通过0.5k Ω电阻接地 C 、接地 D 、与有用输入端并联 8.下列四种类型的逻辑门中,可以用( )实现三种 基本逻辑运算。 A. 与门 B. 或门 C. 非门 D. 与非门 9. 将D 触发器改造成T 触发器,图1所示电路中的虚 () ()E DE C C A F ++?+=E )]E D (C C [A F ?++=E )E D (C C A F ?++=E )E D C C A (F ? ++=E )(D A F ?++=E C C

数字逻辑复习题

数字逻辑复习 第一章 开关理论 考点:1.进制的转换(选择填空) 2.逻辑函数的化简 3.卡若图化简 4. 用与非门进行逻辑设计 课后试题 用布尔代数化简下列各逻辑函数表达式 9.将下列函数展开为最小项表达式 (1) F(A,B,C) = Σ(1,4,5,6,7) (2) F(A,B,C,D) = Σ(4,5,6,7,9,12,14) 10.用卡诺图化简下列各式 (1)C AB C B BC A AC F +++= 化简得F=C (2)C B A D A B A D C AB CD B A F ++++=

F=D A B A + (3) F(A,B,C,D)=∑m(0,1,2,5,6,7,8,9,13,14) 化简得F=D BC D C A BC A C B D C ++++ (4) F(A,B,C,D)=∑m(0,13,14,15)+∑?(1,2,3,9,10,11) 化简得F=AC AD B A ++ 11.利用与非门实现下列函数,并画出逻辑图。 F=))((D C B A ++=))((D C B A

A B C D 参考试题: 1、C A BC C A AB C B A F ++++=),,(1 (用代数法化简) 1 )1(1=+++=+++=++++=B C C A C B C A A C BC C A B A F 2、∑∑+=m d D C B A F )5,2,0()14,13,12,10,9,8,6,4(),,,(2(用卡诺图法化简) 3、用公式法化简逻辑函数:Y =A'BC +(A+B')C 答:Y =A'BC +(A+B')C =(A'B )C +(A'B )' C =C 4.什么叫组合逻辑电路中的竞争-冒险现象?消除竞争-冒险现象的常用方法有哪些? 答:由于竞争而在电路输出端可能产生尖峰脉冲的现象叫竞争-冒险现象。 消除竞争-冒险现象的常用方法有:接入滤波电容,引入选通脉冲,修改逻辑设计。 5、用卡诺图化简下列逻辑函数 ∑=)15,14,13,12,10,9,8,2,1,0(),,,(m D C B A F C A D F +=2

2013数字逻辑期末试卷试题及答案

一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 4. 从结构上看,时序逻辑电路的基本单元是 。 5. JK 触发器特征方程为 。 二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 +U CC +U CC -U BB R R K R C R B A B C F

5. 在下列逻辑部件中,属于组合逻辑电路的是()。 A) 计数器B) 数据选择器C) 寄存器D) 触发器 6. 已知某触发器的时钟CP,异步置0端为R D,异步置1端为S D,控制输入端V i和输出Q的波形如图2所示,根据波形可判断这个触发器是()。 图2 A) 上升沿D触发器B) 下降沿D触发器 C) 下降沿T触发器D) 上升沿T触发器 7. 寄存器要存放n位二进制数码时,需要( )个触发器。 A) n B) n log C) n2D) n/2 2 8. 下面哪种不是施密特触发器的应用:( ) A) 稳定频率脉冲输出B) 波形变换C) 脉冲整形D) 脉冲鉴幅 9. 下列哪个不能用555电路构成:( ) A)施密特触发器B)单稳态触发器C)多谐振荡器D)晶体振荡器 三、简答题(15分) 1.用公式法化简逻辑函数:Y=A'BC +(A+B')C (7分)

相关文档
相关文档 最新文档