文档库 最新最全的文档下载
当前位置:文档库 › 实验二 组合逻辑电路的设计与测试4

实验二 组合逻辑电路的设计与测试4

实验二   组合逻辑电路的设计与测试4
实验二   组合逻辑电路的设计与测试4

实验三组合逻辑电路的设计与测试

一、实验目的

掌握组合逻辑电路的设计与测试方法

二、实验原理

1、使用中、小规模集成电路来设计组合电路是最常见的逻辑电路。设计组合电路的一

般步骤如图1所示。

图1 组合逻辑电路设计流程图

根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。

2、组合逻辑电路设计举例

用“与非”门设计一个表决电路。当四个输入端中有三个或四个为“1”时,输出端才为“1”。

设计步骤:根据题意列出真值表如表1所示,再填入卡诺图表2中。

由卡诺图得出逻辑表达式,并演化成“与非”的形式 Z =ABC +BCD +ACD +ABD

=ABD ACD BCD ABC ???

根据逻辑表达式画出用“与非门”构成的逻辑电路如图2所示。

图2 表决电路逻辑图

三、实验设备与器件

1、 +5V 直流电源

2、 逻辑电平开关

3、 逻辑电平显示器

4、 直流数字电压表

3、 74LS00×1 CC4030×1 CC4081×1(74LS08) 74LS10×1 四、实验内容

1、设计一个路灯控制电路。要求在4个不同的地方都能独立控制路灯的亮和灭。当一个开关动作后灯亮,则另一个开关动作后灯灭。要求用异或门实现。

要求按本文所述的设计步骤进行,直到测试电路逻辑功能符合设计要求为止。 五、实验预习要求

1、 根据实验任务要求列出真值表。

2、 由真值表列出逻辑表达式并化简。

3、 由给定的逻辑门实现电路,画出逻辑图,并在图上标出芯片的引脚号。 六、实验报告

1.按照组合逻辑电路的设计步骤,在实验报告中依次列出真值表,逻辑表达式并化成简式,然后画出由给定逻辑门实现的逻辑电路图。

2、对所设计的电路进行实验测试,记录测试结果。

3、实验总结及体会。

CD4030

74LS00

74LS10

组合逻辑电路的设计与测试

四、实验内容 1、设计用与非门及用异或门、与门组成的半加器电路。 要求按本文所述的设计步骤进行,直到测试电路逻辑功能符合设计要求为止。 解: 逻辑表达式:S= A 2、设计一个一位全加器,要求用异或门、与门、或门组成。 解: i C B A AB )(C C B A S o i ⊕+=⊕⊕= A B 0 00 11 01 1 0 01 01 01 1 S C A B S C 74LS08 74LS86 74LS08 A B C i 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 0 01 01 00 11 00 10 11 1 S C o A B C i CC4085

A B C i 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 0 01 01 00 11 00 10 11 1 S C o A B C i 5 6 3、设计一位全加器,要求用与或非门实现。 解: 11i 1-i i i 1-i i i i B A C B A C B A S --+++=i i i i i C B A C 1i 1-i i i i i A C B B A C -++=i C A i C B i 4、设计一个对两个两位无符号的二进制数进行比较的电路;根据第一个数是否大于、等于、小于第二个数,使相应的三个输出端中的一个输出为“1”,要求用与门、与非门及或非门实现。

解: A 0 B 0 A 1 B 1 B 74LS04六反相器入与门(1) 入与门(2) 五、实验预习要求 1、根据实验任务要求设计组合电路,并根据所给的标准器件画出逻辑图。 2、如何用最简单的方法验证“与或非”门的逻辑功能是否完好? 3、“与或非”门中,当某一组与端不用时,应作如何处理? 六、实验报告 1、列写实验任务的设计过程,画出设计的电路图。 2、对所设计的电路进行实验测试,记录测试结果。 1、组合电路设计体会。 A 0B 0A 0B 0A 0=B 0 A 1=A 1= B 1A 1=B 1010× A < B 001×A 1>F AB A 0A 1输出输入F A>B = (A 1>B 1) + (A 1=B 1)(A 0>B 0)F A=B =(A 1=B 1)(A 0=B 0) F A

实验4 组合逻辑电路设计(编码器和译码器)

实验四 组合逻辑电路设计(编码器和译码器) 一、【实验目的】 1、 验证编码器、译码器的逻辑功能。 2、 熟悉常用编码器、译码器的逻辑功能。 二、【实验原理】 1.编码器 编码器是组合电路的一部分,就是实现编码操作的电路,编码实际上是和译码相反的过程。按照被编码信号的不同特点和要求,编码也分成三类: (1)二进制编码器:如用门电路构成的4-2线,8-3线编码器等。 (2)二—十进制编码器:将十进制0~9编程BCD 码,如10线十进制-4线BCD 码编码器74LS147等。 (3)优先编码器:如8-3线优先编码器74LS148等。 2.译码器 译码器是组合电路的一部分。所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: (1)二进制译码器:如中规模2-4线译码器74LS139,3-8线译码器74LS138等。 (2)二—十进制译码器:实现各种代码之间的转换,如BCD 码——十进制译码器74LS145等。 (3)显示译码器:用来驱动各种数字显示器,如共阴数码管译码器驱动74LS48,共阳数码管译码驱动74LS47等。 三、【实验内容与步骤】 1.编码器实验 将10—4线(十进制—BCD 码)编码器74LS147集成片插入IC 空插座中,管脚排列如下图4-1所示。按下图4-2接线,其中输入端1~9通过开关接高低电平(开关开为“1”、开关关为“0”),输出Q D 、Q C 、Q B 、Q A 接LED 发光二极管。接通电源,按表输入各逻辑电平,观察输出结果并填入表4-1中。 45678QC QB Ucc NC QD 3 2 1 GND QA 图4-1 74LS147集成芯片管脚分布图

组合逻辑电路实验设计

H a r b i n I n s t i t u t e o f T e c h n o l o g y 组合逻辑电路实验设计 血型匹配情况判断电路 一、实验题目: 人的血型有A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表 B、10代表AB、11代表O。) 图1 二、电路设计: 方案一: 解: 1、题目分析

根据题意,确定有4个输入变量,设为X、Y、M、N;输出变量为P。 其中,用两个逻辑变量X、Y的四中取值表示输血者的血型:00代表A型、01代表B型、10代表AB型、11代表O型。 用另外两个逻辑变量M、N的四种取值表示受血者的血型:00代表A型、01代表B型、10代表AB型、11代表O型。 逻辑输出变量P代表输血者与受血者的血型符合情况:1代表血型符合,0代表血型不符合。 题目中要求用八选一数据选择器(74LS151)及与非门(74LS00)实现电路设计。 2、列写输入与输出变量真值表: 真值表如下图所示 3、逻辑表达式: 根据真值表画出卡诺图:

卡诺图如右图所示: 用八选一数据选择器(74LS151),所以输出逻辑表达式写成最小项和的形式:设X 、Y 、M 为选择变量,X 为高位。 逻辑函数P 的与或标注型表达式: P (X ,Y ,M ,N ) X Y M N X Y M N X Y M N X Y M N X Y M N =+++++ 4、比较表达式: 与标准表达式比较得:267P Nm N m(0,1,3,5)m m =+∑++ 所以,数据选择器中EN=0,0135D D D D N ==== D 2=N ,D 4=0, D 6=D 7=1, 5、逻辑电路图:

实验六 组合逻辑电路的设计与测试

实验六组合逻辑电路的设计与测试 1.实验目的 (1)掌握组合逻辑电路的设计方法; (2)熟悉基本门电路的使用方法。 (3)通过实验,论证所设计的组合逻辑电路的正确性。 2.实验设备与器材 1)数字逻辑电路实验箱,2)万用表,3)集成芯片74LS00二片。 3.预习要求 (1)熟悉组合逻辑电路的设计方法; (2)根据具体实验任务,进行实验电路的设计,写出设计过程,并根据给定的标准器件画出逻辑电路图,准备实验; (3)使用器件的各管脚排列及使用方法。 4.实验原理 数字电路中,就其结构和工作原理而言可分为两大类,即组合逻辑电路和时序逻辑电路。组合逻辑电路输出状态只决定于同一时刻的各输入状态的组合,与先前状态无关,它的基本单元一般是逻辑门;时序逻辑电路输出状态不仅与输入变量的状态有关,而且还与系统原先的状态有关,它的基本单元一般是触发器。 (1)组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他功能的门电路。设计组合逻辑电路的一般步骤是: 1)根据逻辑要求,列出真值表; 2)从真值表中写出逻辑表达式; 3)化简逻辑表达式至最简,并选用适当的器件; 4)根据选用的器件,画出逻辑电路图。 逻辑化简是组合逻辑设计的关键步骤之一。为了使电路结构简单和使用器件较少,往往要求逻辑表达式尽可能化简。由于实际使用时要考虑电路的工作速度和稳定可靠等因素,在较复杂的电路中,还要求逻辑清晰易懂,所以最简设计不一定是最佳的。但一般来说,在保证速度、稳定可靠与逻辑清楚的前提下,尽量使用最少的器件,以降低成本。 (2)与非门74LS00芯片介绍 与非门74LS00一块芯片内含有4个互相独立的与非门,每个与非门有二个输入端。其逻辑表达式为Y=AB,逻辑符号及引脚排列如图6-1(a)、(b)所示。 (a)逻辑符号(b)引脚排列 图6-1 74LS20逻辑符号及引脚排列 (3)异或运算的逻辑功能 当某种逻辑关系满足:输入相同输出为“0”,输入相异输出为“1”,这种逻辑关系称为“异或”逻辑关系。 (4)半加器的逻辑功能 在加法运算中,只考虑两个加数本身相加,不考虑由低位来的进位,这种加法器称为半加器。 5.实验内容 (1)用1片74LS00与非门芯片设计实现两输入变量异或运算的异或门电路 要求:设计逻辑电路,按设计电路连接后,接通电源,验证运算逻辑。输入端接逻辑开关输出插口,以提供“0”与“1”电平信号,开关向上,输出逻辑“1”,向下为逻辑“0”;电路的输出端接由LED发光二极管组成的0-1指示器的显示插口,LED亮红色为逻辑“1”,亮绿色为逻辑“0”。接线后检查无误,通电,用万用表直流电压20V档测量输入、输出的对地电压,并观察输出的LED颜色,填入表6-1。

实验一、(仿真)组合逻辑电路的设计与测试--振宇

实验一、组合逻辑电路的设计与测试 一、实验目的 1、学会查阅数字芯片数据手册,掌握集成芯片的逻辑功能,了解芯片主要参数。 2、熟悉常用仪器如函数发生器,台式数字万用表及数字示波器的使用方法,熟悉电压、电流等参数测量。 3、掌握组合逻辑电路的设计与测试方法。 4、认识竞争冒险现象,加深对竞争冒险现象产生的理解,学会消除竞争冒险。 二、实验仪器 直流稳压电源 、面包板及插线、数字示波器、台式数字万用表、函数信号发生器及相关芯片:74LS00、74LS20、74LS86、74LS04、 74LS02 、74LS08、发光二极管和少量阻容器件。三、数字电路实验步骤 1、查阅与实验相关芯片资料,从.21icsearch.下载芯片数据手册。 2、列表,列出相关标准参数。 3、测试方案设定,画出电路原理图,并用multisim10软件进行功能仿真测试。 如何设计电路实现题设要求的逻辑功能,选择哪款芯片?考虑仪器、供电电源等各种误差,如何能测量准确? 4、在实验室面包板上搭建系统、调试电路,测试逻辑功能,测量数据,绘制波形,并进行误差分析。 5、按要求完成实验报告 四、实验任务 1、查阅实验过程中所用芯片技术手册,给出相关技术指标和逻辑功能真值表,画出芯片物理与逻辑引脚图。 2、验证74LS00的逻辑功能,自行设计电路测试 V OL 、V OH 、 I CCL 、I CCH 等参数。 低电平输出电源电流I CCL 和高电平输出电源电流I CCH 说明: 芯片处于不同的工作状态,电源提供的电流是不同的。以与非门为例,I CCL 是指所有输入端悬空,输出端空载时,芯片输出低电平时电源提供器件的电流。I CCH 是指输出端空载,每个门各有一个以上的输入端接地,其余输入端悬空,芯片输出高电平时电源提供给器件的电流。通常I CCL >I CCH ,它们的大小标志着器件静态功耗的大小。器件的最大功耗为P CCL =V CC I CCL 。手册中提供的电源电流和功耗值是指整个器件总的电源电流和总的功耗。 引脚图: 7400芯片物理与逻辑引脚图:

组合逻辑电路实验

实验一基本门电路的功能和特性及组合逻辑电路实验(2学时) 实验目的及要求:掌握常用的集成门电路的逻辑功能与特性;掌握各种门电路的逻辑符号;了解集成电路的外引线排列及其使用方法;学习组合逻辑电路的设计及测试方法。 实验题目:部分TTL门电路逻辑功能验证及组合逻辑电路设计之全加器或全减器。 实验二数值比较器、数据选择器(3学时) 实验目的及要求:掌握数值比较器和数据选择器的逻辑功能;学习组合逻辑电路的设计及测试方法。用7486和7400、7404搭出一位数值比较器,画出其设计逻辑电路图,并验证它的运算;用74153选择器实现多数据表决器,要求3个输入中有2个或3个为1时,输出Y为高电平,否则Y为低电平。画出电路图并简述实现原理。用7400、7404、7432实现该多数表决器。 实验题目:组合逻辑电路设计之数值比较器和数据选择器 实验三计数器的应用(3学时) 实验目的及要求:掌握集成二进制同步计数器74161的逻辑功能;掌握任意进制计数器的构成方法;学习时序逻辑电路的设计及测试方法。用74161搭建一个60进制计数器电路,并将结果输出到7段数码管显示出来,画出其设计逻辑电路图并验证它的功能。 实验题目:时序逻辑电路设计之计数器的应用 74LS00: QUAD 2-INPUT NAND GATE

74LS04: HEX INVERTER 74LS32:Quad 2-Input OR Gates

74LS74: Dual Positive-Edge-Triggered D Flip-Flops with Preset, Clear and Complementary Outputs 74LS153: Dual 4-Input Multiplexer with common select inputs and individual enable inputs 74LS161: Synchronous 4-Bit Binary Counters

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

实验一组合逻辑电路设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

四组合逻辑电路的设计

实验四 组合逻辑电路的设计(二) 一、实验目的 1. 熟悉各种常用MSI 组合逻辑电路的功能与使用方法; 2. 掌握多片MSI 组合逻辑电路的级联、功能扩展; 3. 学会使用MSI 逻辑器件设计组合电路; 4. 进一步培养查找和排除数字电路常见故障的能力。 二、实验器件 1. 74LS151 八选一数据选择器 2. 74LS283 四位二进制全加器 三、实验原理 见实验三。 四、设计举例 例:使用全加器实现四位二进制相减。 原理:减去某个二进制数就是加上该数的补码(即反码加“1”),所以二进制数A 和B 相加,先将B 变为反码,然后与数A 相加,并令C1=1,即可。电路如图4—1示: A 0A 2A 3 A 1 被减数 减数 B 0 B 1B 2B 3 V CC C 4 C 1 C 0∑ ∑1 ∑2∑3 ∑0图 4-1 例:设计一四变量输入组合逻辑电路。当四个输入中有奇数个高电平“1”时 输出高电平“1”,否则输出低电平“0”。 原理:设输入四变量为DCBA ,输出为Y ,其真值表入图4—2(a )所示,输出函数Y 为: Y B C D A B C D 1D 2D 3D 4D 5D 6D 7 D 0??? ? ?? A (b)

用八选一数据选择器实现四变量逻辑函数时,以其中3个变量做地址,另外一个变量做数据。选DCB三变量作为地址,A为数据,画出电路图如图4—2(b):五、实验内容 1.用八选一数据选择器74LS151设计一个8421BCD非法码检测电路,当输入为非法码组时,输出为1,否则为零。 2.用全加器实现2位二进制数相乘。 六、实验报告要求 1.画出各实验步骤的实验电路逻辑图,并分析实验结果。 2.总结MSI器件的功能及使用方法。

组合逻辑电路设计实验报告

组合逻辑电路设计实验报告 1.实验题目 组合电路逻辑设计一: ①用卡诺图设计8421码转换为格雷码的转换电路。 ②用74LS197产生连续的8421码,并接入转换电路。 ③记录输入输出所有信号的波形。 组合电路逻辑设计二: ①用卡诺图设计BCD码转换为显示七段码的转换电路。 ②用74LS197产生连续的8421码,并接入转换电路。 ③把转换后的七段码送入共阴极数码管,记录显示的效果。 2.实验目的 (1)学习熟练运用卡诺图由真值表化简得出表达式 (2)熟悉了解74LS197元件的性质及其使用 3.程序设计 格雷码转化: 真值表如下:

卡诺图: 1 010100D D D D D D G ⊕=+= 2 121211D D D D D D G ⊕=+=

3232322D D D D D D G ⊕=+= 33D G = 电路原理图如下: 七段码显示: 真值表如下: 卡诺图:

2031020231a D D D D D D D D D D S ⊕++=+++= 10210102b D D D D D D D D S ⊕+=++= 201c D D D S ++= 2020101213d D D D D D D D D D D S ++++= 2001e D D D D S +=

2021013f D D D D D D D S +++= 2101213g D D D D D D D S +++= 01213g D D D D D S +⊕+= 电路原理图如下:

4.程序运行与测试 格雷码转化: 逻辑分析仪显示波形:

数电实验 组合逻辑电路

实验报告 课程名称: 数字电子技术实验 指导老师: 成绩:__________________ 实验名称: 组合逻辑电路 实验类型: 设计型实验 同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一.实验目的和要求 1. 加深理解典型组合逻辑电路的工作原理。 2. 熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3. 掌握组合集成电路元件的功能检查方法。 4. 掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 5. 熟悉全加器和奇偶位判断电路的工作原理。 二.实验内容和原理 组合逻辑电路设计的一般步骤如下: 1.根据给定的功能要求,列出真值表; 2. 求各个输出逻辑函数的最简“与-或”表达式; 3. 将逻辑函数形式变换为设计所要求选用逻辑门的形式; 4. 根据所要求的逻辑门,画出逻辑电路图。 实验内容: 1. 测试与非门74LS00和与或非门74LS55的逻辑功能。 2. 用与非门74LS00和与或非门74LS55设计一个全加器电路,并进行功能测试。 专业: 电子信息工程 姓名: 学号: 日期: 装 订 线

3. 用与非门74LS00和与或非门74LS55设计四位数奇偶位判断电路,并进行功能测试。 三. 主要仪器设备 与非门74LS00,与或非门74LS55,导线,开关,电源、实验箱 四.实验设计与实验结果 1、一位全加器 全加器实现一位二进制数的加法,他由被加数、加数和来自相邻低位的进数相加,输出有全加和与向高位的进位。输入:被加数Ai,加数Bi,低位进位Ci-1输出:和Si,进位Ci 实验名称:组合逻辑电路 姓名:学号: 列真值表如下:画出卡诺图: 根据卡诺图得出全加器的逻辑函数:S= A⊕B⊕C; C= AB+(A⊕B)C 为使得能在现有元件(两个74LS00 与非门[共8片]、三个74LS55 与或非门)的基础上实现该逻辑函数。所以令S i-1=!(AB+!A!B),Si=!(SC+!S!C), Ci=!(!A!B+!C i-1S i-1)。 仿真电路图如下(经验证,电路功能与真值表相同):

组合逻辑电路实验报告

组合逻辑电路实验报告

图6-1:O型静态险象 如图6-1所示电路 其输出函数Z=A+A,在电路达到稳定时,即静态时,输出F 总是1。然而在输入A变化时(动态时)从图6-1(b)可见,在输出Z的某些瞬间会出现O,即当A经历1→0的变化时,Z出现窄脉冲,即电路存在静态O型险象。 进一步研究得知,对于任何复杂的按“与或”或“或与”函数式构成的组合电路中,只要能成为A+A或AA的形式,必然存在险象。为了消除此险象,可以增加校正项,前者的校正项为被赋值各变量的“乘积项”,后者的校正项为被赋值各变量的“和项”。 还可以用卡诺图的方法来判断组合电路是否存在静态险象,以及找出校正项来消除静态险象。 实验设备与器件 1.+5V直流电源 2.双踪示波器 3.连续脉冲源 4.逻辑电平开关 5.0-1指示器

(3)根据真值表画出逻辑函数Si、Ci的卡诺图 (4)按图6-5要求,选择与非门并接线,进行测试,将测试结果填入下表,并与上面真值表进行比较逻辑功能是否一致。 4.分析、测试用异或门、或非门和非门组成的全加器逻辑电路。 根据全加器的逻辑表达式

全加和Di =(Ai⊕Bi)⊕Di-1 进位Gi =(Ai⊕Bi)·Di-1+Ai·Bi 可知一位全加器可以用两个异或门和两个与门一个或门组成。(1)画出用上述门电路实现的全加器逻辑电路。 (2)按所画的原理图,选择器件,并在实验箱上接线。(3)进行逻辑功能测试,将结果填入自拟表格中,判断测试是否正确。 5.观察冒险现象 按图6-6接线,当B=1,C=1时,A输入矩形波(f=1MHZ 以上),用示波器观察Z输出波形。并用添加校正项方法消除险象。

实验一 组合逻辑电路的设计与测试教学提纲

实验一组合逻辑电路的设计与测试 一、实验原理 根据设计任务的要求建立输入、输出变量,并列出真值表;然后用逻辑电路代数或卡诺图化简法求出简化的逻辑表达式并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,验证设计的正确性。 二、实验目的 掌握组合逻辑电路的设计与测试方法。 三、实验设备与器件 1、+5V直流电源 2、逻辑开关 3、逻辑电平显示器 4、直流数字电压表 5、CC4011×2(74LS00) CC4012×3(74LS20) CC4030(74LS86) CC4081(74LS08) 74LS54×2(CC4085) CC4001(74LS02) 四、实验内容 1、设计用与非门及异或门、与门组成的半加器电路。 (1)真值表如下表

(2) 简化逻辑表达式为 S⊕ = A = + B A B A B C= AB (3)逻辑电路图如下 2、设计一个一位全加器,要求用异或门、与门、或门实现。 用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 (1)列出真值表如下表。其中Ai、Bi、Ci分别为一个加数、另一个加数、低位向本位的进位;Si、Ci+1分别为本位和、本位向高位的进位。

1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 (2)由全加器真值表写出函数表达式。 (3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 (4)画出逻辑电路图如下图,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将Ai 、Bi 、Ci 接逻辑开关,输出Si 、Ci+1接发光二极管。改变输入信号的状态验证真值表。 3、设计一位全加器,要求用与或非门实现。 解: 11i 1-i i i 1-i i i i B A C B A C B A S --+++=i i i i i C B A C Θ

实验四 组合逻辑电路

实验四组合逻辑电路 一、实验目的 1.学会组合逻辑电路的实验分析及其设计方法。 2.验证半加器、全加器的逻辑功能。 二、实验原理 按照逻辑电路的不同特点,常把数字电路分成两大类:一类叫组合逻辑电路,一类叫时序逻辑电路。组合逻辑电路在任一时刻的输出状态只决定于该时刻各输入状态的组合,而与电路的原状态无关。通常组合逻辑电路由门电路组合而成。 分析组合逻辑电路的目的是为了确定已知电路的逻辑功能,或者检查电路设计是否合理。分析组合逻辑电路时首先根据已知的逻辑图,从输入到输出逐级写出逻辑函数表达式;然后利用公式法或卡诺图法化简逻辑函数表达式;最后列真值表,确定其逻辑功能。 设计组合逻辑电路的任务是根据已知逻辑问题,画出满足任务要求的逻辑电路图。组合逻辑电路的设计,通常以电路简单,器件最少为目标。首先应分析实际问题所要求的逻辑功能,确定输入量和输出量,然后列出符合输入、输出关系的真值表,根据真值表写出逻辑函数的表达式并化简成最简式,按照最简逻辑函数的表达式画出逻辑电路图。 三、实验仪器及设备 1、数字逻辑实验箱1台 2、元器件:74LS20×4(四输入端2与非门),74LS00×1(二输入端4与非门), 74LS08×1,74LS32×1,导线若干 四、实验内容 1.测试图1电路的逻辑功能 按图1接线。按表1要求输入信号,测出相应的输出逻辑电平,并填入表中。分析电路的逻辑功能,写出逻辑表达式。

图1 图2 2.测试用异或门、非门和与或非门组成的电路的逻辑功能 按图2接线。按表2要求输入信号,测出相应的输出逻辑电平,并填入表中。分析电路的逻辑功能,写出逻辑表达式。 3.根据要求自行设计逻辑电路,要求画出逻辑电路图,列真值表并验证其逻辑功能。 (1)有一个车间,有红、黄两故障指示灯,用来表示三台设备的工作情况。当有一台设备出现故障时,红灯亮;若三台设备都出现故障时,红灯、黄灯都亮。试用与非门设计一个控制灯亮的逻辑电路。 分析提示:设Y 为红灯,G 为黄灯,以1代表灯亮,0代表灯不亮,其逻辑表达式:Y=BC AC AB G=ABC C B A C B A C B A 根据公式得到参考逻辑电路图3。 根据分析提示并结合参考电路图,设计出自已的电路,在实验箱上将电路连接完成。自拟表格记录之。

实验一组合逻辑电路设计

电子信息工程晓旭 2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三 .实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个优先级区分器。该公司收到有A,B,C,三类,A,类的优先级最高,B 类次之,C类最低。到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的同时到达时,对优先级最高的先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输出高低电平代表到

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数字

实验三组合逻辑电路

实验三组合逻辑电路(常用门电路、译码器和数据选择器) 一、实验目的 1.掌握组合逻辑电路的设计方法 2.了解组合逻辑电路的冒险现象与消除方法 3.熟悉常用门电路逻辑器件的使用方法 4.熟悉用门电路、74LS138和74LS151进行综合性设计的方法 二、实验原理及实验资料 (一)组合电路的一般设计方法 1.设计步骤 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成的工作。组合逻辑电路的一般设计步骤如图所示。 图组合逻辑电路的一般设计步骤 设计组合逻辑电路时,通常先将实际问题进行逻辑抽象,然后根据具体的设计任务要求列出真值表,再根据器件的类型将函数式进行化简或变换,最后画出逻辑电路图。 2. 组合电路的竞争与冒险(旧实验指导书P17~20) (二)常用组合逻辑器件 1.四二输入与非门74LS00 74LS00为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有四个独立的二输入“与非”门,每个门的构造和逻辑功能相同。 图 74LS00引脚排列及内部逻辑结构 2.二四输入与非门74LS20

74LS20为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有两个独立的四输入“与非”门,每个门的构造和逻辑功能相同。 图 74LS20引脚排列及内部逻辑结构 3.四二输入异或门74LS86 74LS86为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有四个独立的二输入“异或”门,每个门的构造和逻辑功能相同。 图 74LS86引脚排列及内部逻辑结构 3.3线-8线译码器74LS138 74LS138是集成3线-8线译码器,其功能表见表。它的输出表达式为 i A B i Y G G G m 122(i =0,1,…7;m i 是最小项),与基本门电路配合使用,它能够实现任何三变量的逻辑函数。74LS138为双列直插16脚塑料封装,外部引脚排列如图所示。

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

组合逻辑电路的设计实验报告

中国石油大学现代远程教育 电工电子学课程实验报告 所属教学站:青岛直属学习中心 姓名:杜广志学号: 年级专业层次:网络16秋专升本学期: 实验时间:2016-11-05实验名称:组合逻辑电路的设计 小组合作:是○否●小组成员:杜广志 1、实验目的: 学习用门电路实现组合逻辑电路的设计和调试方法。 2、实验设备及材料: 仪器:实验箱 元件:74LS00 74LS10 3、实验原理: 1.概述 组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路过去状态无关。因此,组合电路的特点是无“记忆性”。在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。所以各种功能的门电路就是简单的组合逻辑电路。 组合逻辑电路的输入信号和输出信号往往不止一个,其功能描述方法通常有函数表达式、真值表、卡诺图和逻辑图等几种。 组合逻辑电路的分析与设计方法,是立足于小规模集成电路分析和设计的基本方法之一。 2.组合逻辑电路的分析方法 分析的任务是:对给定的电路求解其逻辑功能,即求出该电路的输出与输入之间的逻辑关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。 分析的步骤: (1)逐级写出逻辑表达式,最后得到输出逻辑变量与输入逻辑变量之间的逻辑函数式。 (2)化简。 (3)列出真值表。 (4)文字说明 上述四个步骤不是一成不变的。除第一步外,其它三步根据实际情况的要求而采用。 3.组合逻辑电路的设计方法 设计的任务是:由给定的功能要求,设计出相应的逻辑电路。 设计的步骤; (1)通过对给定问题的分析,获得真值表。 在分析中要特别注意实际问题如何抽象为几个输入变量和几个输出变量之间的逻辑关系问题,其输出变量之间是否存在约束关系,从而获得真值表或简化

组合逻辑电路的设计与测试

数字电子技术 实验报告 (大数据学院)实验名称:实验二:组合逻辑电路的设计与测试专业班级: 学生姓名: 学生学号: 指导教师:

实 验 地 点: 实 验 日 期: 2019.12.7 实验组成员姓名: 贵州理工学院实验报告 实验项目名 称 组合逻辑电路的设计与测试 实验目的 掌握组合逻辑电路的设计与测试方法 实验原 理 1、 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路。设计组合电路的一般步骤如图2-1所示。 图2-1 组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或 卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。 根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2、 组合逻辑电路设计举例 用“与非”门设计一个表决电路。当四个输入端中有三个或四个为“1”时,输出端才为“1”。 设计步骤:根据题意列出真值表如表2-1所示,再填入卡诺图表2-2中。 表1-1

D 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 A 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Z 0 0 0 0 0 0 0 1 0 0 0 1 0 1 1 1 表2-2 DA BC 00 01 11 10 00 01 1 11 1 1 1 10 1 由卡诺图得出逻辑表达式,并演化成“与非”的形式 Z =ABC +BCD +ACD +ABD =ABC ACD BCD ABC ??? 根据逻辑表达式画出用“与非门”构成的逻辑电路如图2-2所示。 图2-2 表决电路逻辑图 用实验验证逻辑功能 在实验装置适当位置选定三个14P 插座,按照集成块定位标记插好集成块CC4012。 按图2-2接线,输入端A 、B 、C 、D 接至逻辑开关输出插口,输出端Z 接逻辑电平显示输入插口,按真值表(自拟)要求,逐次改变输入变量,测量相应的输出值,验证逻辑功能,与表2-1进行比较,验证所设计的逻辑电路是否符合要求。 3. 半加器实现原理

实验三组合逻辑电路multisim仿真设计

实验四组合逻辑电路Multisim仿真设计 一、实验目的 1、掌握组合逻辑电路的特点 2、利用逻辑转换仪对组合逻辑电路进行分析与设计 二、实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时候的输出仅仅取决于同一时刻的输入信号的取值组合。 根据电路确定功能,是分析组合逻辑电路的过程,其步骤如下:组合逻辑电路→推导→逻辑表达式→化简→最简表达式→列表→真值表→分析→确定电路功能。 根据要求求解电路,是设计组合逻辑电路的过程,其步骤如下:问题提出→分析→真值表→归纳→逻辑表达式→化简变换→逻辑图。 逻辑转换仪是Multisim中常用的数字逻辑电路分析和设计仪器。 三、仿真例题 1、利用逻辑转换仪对已知逻辑电路进行分析 电路图如下: 图待分析逻辑电路 分析结果如下:

图 逻辑分析仪输出结果 四、思考题 1、设计一个四人表决电路,即如果3人或3人以上同意,则通过;否则被否决。用与非门实现。 解:用ABCD 分别表示四人的表决结果,1表示同意,0表示不同意。则利用逻辑分析仪可以输入如下真值表,并得到如下表达式: L=ACD+ABD+ABC+BCD 图 逻辑分析仪得到的真值表和表达式 得到如下电路图: A B C 14 11 13 1 12 3 210 9 68754图 利用逻辑分析仪得到的与非门设计的表决电路 2、利用逻辑转换仪对下图所示电路进行分析。

XLC1 A B U1A 74LS04D U1B 74LS04D U1C 74LS04D U2A 74LS00D U2B 74LS00D 2 U3A 74LS10D U3B 74LS10D 1 4 3 6 5 7 8 9 10 图 待分析的逻辑电路 解:通过逻辑分析仪可以得到如下结果: 图 逻辑分析仪输出结果 得到逻辑表达式为:L AC BC ABC =++

实验4 组合逻辑电路实验

实验4 组合逻辑电路实验 1.设计一个完整的组合逻辑电路,并用MAXPLUS进行仿真,将结果下载到实验箱中,测试电路的正确性。 要求:设计一个6输入的表决电路,其中1路输入为主裁,优先级最高,其余5路的估先级相同,用LED显示各路输入的状态(同意的亮灯,反对的灭灯),同时用数码管显示同意的人数。 2.应包含VHDL源程序,详细的设计报告,对程序,仿真结果,实验箱运行结果(图片贴到报告中)进行详尽的分析 一、实验分析:6输入表决电路,需要六个输入,并且设置一个主裁位,优先级最高,当他同意,为高电平时,其他五个人,有三个以上同意才通过,否则不通过。 二、程序如下: library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity a6_1is port ( a: in STD_LOGIC_VECTOR (6 downto 0); c: out STD_LOGIC ); end a6_1; architecture dsf_arch of a6_1is

signal tmp1: integer ; begin process (a) variable tmp: integer ; begin tmp := 0; for i in 0 to 6 loop if a(i) ='1' then tmp := tmp +1 ; end if; end loop; tmp1<=tmp; end process; c<='1' when tmp1=3 and a(0)='1' else '1' when tmp1=4and a(0)='1' else '1' when tmp1=5 and a(0)='1' else '1' when tmp1=6 and a(0)='1' else '0' ; end dsf_arch;

相关文档 最新文档