文档库 最新最全的文档下载
当前位置:文档库 › 数字电路课程设计报告

数字电路课程设计报告

数字电路课程设计报告
数字电路课程设计报告

二〇一一~二〇一二学年第一学期

电子信息工程系

脉冲与数字电路课程设计报告

学院: 信息科学与工程学院

专业: 电子信息工程

班级: 电信0902

学号: 200904135065

学生姓名:

指导教师: 左韬

二〇一一年八月二十九日

霓虹灯设计

一、设计任务与要求

(一)设计目的

(1)基本了解设计数字系统的一般方法。

(2)进一步熟悉常用数字器件的使用方法。

(3)基本掌握通过逻辑分析查找数字电路故障的方法。

(二)设计要求和技术指标

1、设计要求:

(1)可以控制每段霓虹灯的点亮或熄灭。假设霓虹灯由32个发光二极管组成。

(2)每段霓虹灯发光二极管的点亮与熄灭可以通过编程来实现。

(3)每间隔一段时间,霓虹灯的图样变化一次。

(4)图样变化的间隔时间可以调节。

2、任务要求

(1)设计一个霓虹灯闪烁电路;

(2)拟定测试方案和设计步骤;

(3)根据设计要求和技术指标设计好电路,选好元件;

(4)要求绘出原理图,详细的连线图,描述电路的功能原理;

(5)撰写设计报告。

二、方案设计与论证

(一)题目分析

根据题目要求需要实现32个弥红灯(实验使用二极管代替)的点亮和熄灭控制,并实现可编程性,且每个弥红灯(实验用二极管代替)都能够相互独立,实现分别控制。

进一步的要求有;能够实现随时间变化出现弥红灯(实验用二极管代替)图样的变化,且变化间隔时间可以调节。

此题目的解决方案中,必须有能够控制32个独立弥红灯(实验用二极管代替)的足够的引脚,其次需要有可编程器件来实现图样的变化,再者定时系统的定时值是可以人工改变的。

(二)方案设计

方案一:使用单片机解决所有问题。

使用单片机解决问题时,由于需要控制32个弥红灯(实验用二极管代替)所以如若使用51单片机需要利用锁存器扩展IO口,当然也可使用TI的48个IO口的430系列单片机,通过编程存入要实现的目的,在变化时间更改上可以使用键盘出发的方式。

方案二:使用较为简单的数字芯片来完成该设计,具体需要有时间控制模块,物理存储模块,地址选择模块,长度计数模块,以及解码模块。

(三)方案论证选择

此次设计中程序较为简单,所需外围电路也相对比较容易,而且此次试验的目的也是检验我们对数字电子线路的掌握情况,再考虑到单片机控制相对较为复杂,因此最终选择第二方案。

三、单元电路设计与电路原理

(一)设计原理

1、设计电路原理框图如图所示

/

设有一排n段水平排列的霓虹灯,它从左到右每间隔0.2秒逐个点亮。其控制过程如下:若以“1”代表霓虹灯点亮,以“0”代表霓虹灯熄灭,则开始时刻,n段霓虹灯“0”,随后,控制器将一帧n个数据送至n段霓虹灯的控制端,其中,最左边的一段霓虹灯对应的控制数据为“1”,其余的数据均为零,即1000...000。当n个数据送完以后,控制器停止送数,保留这种状态0.2秒,此时,第1段霓虹灯被点亮,其余霓虹灯熄灭。随后,控制器又在极短的时间内将数据1100...000送至霓虹灯的控制端,并定时0.2秒,这段时间,前两段霓虹灯被点亮。由于送数过程很快,观测到的效果是第一段霓虹灯被点亮0.2秒后,第2段霓虹灯接着被点亮,即每隔0.2秒显示一帧图样。如此下去,最后控制器将数据1111 (111)

送至n段霓虹灯的控制端,则n段霓虹灯被全部点亮。

只要改变送至每段霓虹灯的数据,即可改变霓虹灯的显示方式,显然,可以通过合理的组合数据(编程)来得到霓虹灯的不同显示方式。

2、主要电路器件工作原理介绍

(1)移位寄存器

移位寄存器用于寄存控制发光二极管亮、灭的数据,对应n个发光二极管,移位寄存器有n位输出。移位寄存器的输入信号取自存储器输出的8位并行数据,为使电路简单,可以采用8位并入并出的移位寄存器,也可以采用并入串出的移位寄存器。

双向移位寄存器原理

若将图8.8.1所示电路中各触发器间的连接顺序调换一下,让右边触发器的输出作为左邻触发器的数据输入,则可构成左向移位寄存器。若再增添一些控制门,则可构成既能右移(由低位向高位)、又能左移(由高位至低位)的双向移位寄存器。图8.8.4是双向移位寄存器的一种方案,它是利用边沿D 触发器组成的,每个触发器的数据输入端D 同与或非门组成的转换控制门相连,移位方向取决于移位控制端S的状态。

当S=1时,D0=DSR,D1=Q0,即FF0的D0端与右移串行输入端DSR接通,FF1的D1端与Q0接通,在时钟脉冲CP 作用下,由DSR端输入的数据将作右向移位;反之,当S=0时,D0=Q1 ,D1=Q2,在时钟脉冲CP作用下,Q2、 Q1的状态将作左向移位。同理,可以分析其他两位触发器间的移位情况。由此可见,图8.8. 4所示寄存器可作双向移位。当S=1时,数据作右向移位;当S=0时,数据作左向移位。可实现串行输入——串行输出(由DOR 或DOL输出)、串行输入――并行输出工作方式(由Q3~Q0 输出)。

(2) 只读存储器

只读存储器内部通过编程以写入控制霓虹灯显示方式的数据,控制器每间隔一段时间(显示定时)将n位数据送移位寄存器,所送的数据内容由存储器的地址信号确定。

存储器的容量由霓虹灯的段数、显示方式及显示方式的种类确定。n段霓虹灯,m种显示方式,要求存储器的容量为c=k×n×m(bit)

只读存储器可以采用常用的EPROM,如2764、27128、27256、27512等。

本设计选择使用2764作为可编程存储器件。2764的内部存储结构为8K*8,即8K个地址单位,每个地址位可记录8个2进制数位。所以2764有13条地址线,8条数据输出线,

同时此8条还用作程序烧制时的数据输入端。

(3) 地址计数器

地址计数器产生由低到高连续变化的只读存储器的地址,存储器内对应地址的数据被送至寄存器。地址计数器输出的位数由存储器的大小决定。

64Kbyt容量的存储器对应的地址线为16根,因此要求16位计数器。其余可依次类推。地址计数器给出存储器的全部地址以后自动复位,重新从0000H开始计数。

本设计选择使用2764作为可编程存储器件。2764与本需要13条地址线,但考虑到本设计中并不需要如此多的不同的编码,为了简化电路,选择使用3片74LS161作为地址计数器。为了满足地址计数器存在自清零的功能,所以在最高位的74LS161的输出最高位上利用非门做了一个反馈电路以实现此功能。这样地址计数器便只有11条寻址线,因此将2764的地址线最高两位接地,增加其抗干扰的能力。

(4) 控制门与定时器

控制门用于控制计数脉冲是否到达地址计数器。控制门的控制信号来自定时器,定时器启动时,控制门被关闭,地址计数器停止计数,寄存器的数据被锁存。此段时间发光二极管发光。达到定时值时,定时器反相,计数器重新开始计数。

控制门可以用一般的与门或或门,定时器可以采用单稳态电路来实现,也可以用计数器实现。

单稳态触发器

图8-2 555构成单稳态触发器

上图8-2为由555定时器和外接定时元件R、C构成的单稳态触发器。D为钳位二极管,稳态时555电路输入端处于电源电平,内部放电开关管T导通,输出端Vo输出低电平,

当有一个外部负脉冲触发信号加到Vi端。并使2端电位瞬时低于,低电平比较器动作,单稳态电路即开始一个稳态过程,电容C开始充电,Vc按指数规律增长。当Vc充电到时,高电平比较器动作,比较器A1翻转,输出V o从高电平返回低电平,放电开

关管T重新导通,电容C上的电荷很快经放电开关管放电,暂态结束,恢复稳定,为下个触发脉冲的来到作好准备。波形图见图8-3。

图8-3 单稳态触发器波形图

暂稳态的持续时间Tw(即为延时时间)决定于外接元件R、C的大小。

Tw=1.1RC

通过改变R、C的大小,可使延时时间在几个微秒和几十分钟之间变化。当这种单稳态电路作为计时器时,可直接驱动小型继电器,并可采用复位端接地的方法来终止暂态,重新计时。此外需用一个续流二极管与继电器线圈并接,以防继电器线圈反电势损坏内部功率管。

(5) 长度计数器

长度计数器与地址计数器对应同一个计数脉冲。长度计数器工作时,地址计数器也在工作。计数器工作期间,存储器对应地址的数据被逐级移位至对应的寄存器。长度计数器的计数长度为n / 8,该长度恰好保证一帧图样(n位)的数据从存储器中读出送寄存器锁存。长度计数器达到长度值时自动清零,同时启动定时器工作。定时器启动期间,长度计数器与地址计数器的计数脉冲均被封闭。

在本设计中,需要控制32个LED,需要使用4片8位并行输入输出的移位寄存器,也就是说本设计中长度计数器的计数值应该选择四。在本电路中选择了移位寄存器74LS194作为长度计数器芯片,利用其移位原理构成长度为4的移位计数器。该电路在其并行输入端全部输入低电平,在其右移串行输入端输入高电平,那么在经过四个周期的移位运算后,Q0的输出值将会变为高电平,经过非们后启动定时器,即单稳态电路,同时对74LS194本身完成清零运算。

(6)时钟振荡部分

芯片选用555通用定时器。电路选用多谐振荡电路。电路图如下。

它的工作原理:电源接通后,由于电容C没有电荷,555中C2的同相输入端电压值大于反相输入端电压值,因此,输出高电平。电源通过电阻Ra,Rb向电容C充电,充电时间常数为(Ra+Rb)C。当电容电压Uc=2/3Ucc时,比较器C1翻转,输出由高电平跳到低电平,同时,放电三极管导通,电容C上的电荷通过电阻Rb和三极管放掉。放电时间常数为RbC。当电容电压下降至1/3Ucc时,比较器C2翻转到1,输出电压又为高电平放电三极管截止,又开始重复充电过程,周而复始,形成振荡,振荡周期为:T=Tph+Tpc=0.7(Ra+2Rb)C.振荡频率为:f=1/T=1.43/((Ra+2Rb)C).本实验中频率选择约为2400Hz。

2、设计用主要器件

针对上述的原理框图,选择合适的器件来实现各单元的功能。比如,只读存储器可以采用常用的EPROM,如2764、27128、27256、27512等;地址计数器可选用74LS161;振荡电路可采用555组成多谐振荡器来实现;定时器可采用555组成的单稳态触发器来实现;移位寄存器可由74LS374构成;控制门可由典型的或门74LS08和非门74LS04构成,;长度计数器可由74LS194构成。

关键电路部分单元的参考设计如下图所示:

地址计数器

电路中的地址计数器由3块74LS161组成,它产生11位地址数据,计数输出直接与存储器的地址线相连。

振荡电路采用555(1)组成多谐振荡器来实现,其振荡频率可以在1kHz~1MHz之间取值。

定时器

定时器采用555(2)组成的单稳态触发器来实现,改变可变电阻R P的数值。可以改变定

时器的时间,即每帧画面显示的时间。显示时间一般定在0.1~1s之间。

四、总原理图及元器件清单

(1)总原理图

系统启动后,多谐振荡电路开始工作,为整个系统提供脉冲信号,移位寄存器

74LS194和74LS161在脉冲信号的作用下开始工作,每经过一个脉冲第一个移位寄存器

74LS374从只读存储器中读出一个编码,在下一个脉冲来临后将其寄存值移位给下一个移位寄存器并且从只读存储器中读出另一个编码,经过四个脉冲后,单稳态电路即定时器部分启动,控制门被关闭,移位寄存器中的数据被锁存,所以LED灯按照编码表中的编码显示。当定时器达到定时值时,控制门被打开,按照第一次一样的方式,移位寄存器再次从只读存储器中读出四个编码并以此寄存在四个不同的移位寄存器中,如此循环往复,利用人的视觉暂留效应和瞬时效应,实现本设计最初提到的LED逐个点亮的效果,以及123456这六个数字代码的滚动出现。(电路连线图见附表)

(2)元件清单

五、电路安装和功能测试方案

(一) 电路安装

在印刷电路板上安装好全部器件。所需电路板可以在电子CAD课程作为课程设计内容完成,也可委托电路板厂加工。如无现成的印刷电路板,也可在万能板上安装。由于电路连线较多,不宜在面包板上安装。

(二) 检测电路

◆检测由555(1)组成的时钟振荡器的输出波形,正常情况应能在它的第3脚观测到频率为几十kHz的矩形波。如不能观测到输出波形,则应检测555(1)的工作状态,找到故障所在。

◆将定时器电位器R P调至最小值,用示波器观测计数脉冲的波形,如电路正常,可以得到如图13.3所示的波形。如没有波形或波形为连续矩形波,则检测定时器555(2)输出端第三脚的电平。正常时可以观测到输出电平以短于1s的时间周期跳变,如果不出现跳变,则定时器没有工作,应检测定时器与长度计数器的工作状态。通过检测各引脚电平或波形,根据电路的逻辑关系进行分析,排除故障。

◆检测存储器各地址线的电平,在低地址端应能观测到电平的跳变。如地址线电平不发生

变化,则应检测由4个74LS161构成的地址计数器工作是否正常,通过检测各IC的引脚或

波形,排除故障。

◆检测寄存器74LS374各引脚电平,各电平值应与电路确定的值一致,出现异常则应找出

故障所在,予以排除。

(三) 排列发光二极管

将32个发光二极管按你喜欢的方式排列成一定的图形或字符。

(四) 确定显示方式

根据排列的图形,确定发光二极管的显示方式。

(五) 确定存储器各地址对应的数据

显示方式确定之后,则可确定存储器各地址对应的数据。为加深读者的认识,设发光二

极管水平排列,显示方式为从左至右一个一个点亮。这种情况下,各地址对应的数据如表13.1所示。表中,每行第一个16进制数为存储器的一个起始地址,其余16个数为该地址

及与该地址相连的其他15个地址的数据,也用16进制数表示。

表13.1 一种显示方式各地址对应的数据

0000H 00H 00H 00H 01H 00H 00H 00H 03H 00H 00H 00H 07H 00H 00H 00H 0FH

0010H 00H 00H 00H 1FH 00H 00H 00H 3FH 00H 00H 00H 7FH 00H 00H 00H FFH

0020H 00H 00H 01H FFH 00H 00H 03H FFH 00H 00H 07H FFH 00H 00H 0FH FFH

0030H 00H 00H 1FH FFH 00H 00H 3FH FFH 00H 00H 7FH FFH 00H 00H FFH FFH

0040H 00H 01H FFH FFH 00H 03H FFH FFH 00H 07H FFH FFH 00H 0FH FFH FFH

0050H 00H 1FH FFH FFH 00H 3FH FFH FFH 00H 7FH FFH FFH 00H FFH FFH FFH

0060H 01H FFH FFH FFH 03H FFH FFH FFH 07H FFH FFH FFH 0FH FFH FFH FFH

0070H 1FH FFH FFH FFH FFH 3FH FFH FFH 7FH FFH FFH FFH FFH FFH FFH FFH

六、结论与心得

通过此次实验使我对数字系统设计的一般方法更加清楚了,能够更加独立而不像以前那么

难的设计出比较理想的数字系统,对常用数字器件的使用方法也更加熟悉了,对所学知识的

运用也相对熟练多了,就比如这次,通过学习过的555定时器,我们用到了它的多谐振荡电

路和单稳态电路,还有74LS194的右移特性以及74LS161和74LS374等芯片的功能和性质

都有所用到,让我对知识也学得更加深入了,为以后的学习更是奠定了更深的基础,另外对

于控制每段霓虹灯的点亮或熄灭,每段霓虹灯发光二极管的点亮与熄灭都是通过编程来实现的,通过调节每间隔一段时间,霓虹灯的图样就会变化一次。这里又用到了不少编程的知识。还有对逻辑分析查找数字电路故障的方法也掌握的差不多了,让我学会了更多的知识,对我

运用知识的能力也有了不少锻炼,让我收获不少,使我对日后的学习也更有信心了。

七、参考

[1]康华光. 电子技术基础[M]. 北京:高等教育出版社,1999年

[2]《电子线路设计·实验·测试》,华中科技大学出版社

[3]阎石. 数字电子技术基础[M]. 北京:高等教育出版社,2001年

[4]郝国法梁柏华 .《电子技术实验》.北京:冶金工业出版社,2009年;

[5]参考网页或网址:5023大讲堂;

https://www.wendangku.net/doc/352453712.html,/search_video/q_%E6%95%B0%E7%94%B5%E8%AF%BE%E7%A8%8B_orderby

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

数字电路课程设计报告(好)

天津职业技术师范大学电子工程学院电子技术之---万用表课程设计报告 同组学生姓名(学号):寡人猪八戒 任务分工::查阅资料设计电路并进行电脑仿真, 焊接电路并参与电路的安装与调试 负责撰写课程设计及实验总结的工作 设计时间:2012年04月28日——2012 年05月04日 指导教师: 一、课程设计的目的与要求(含设计指标) 1、设计目的 (1)了解ICL7107芯片工作原理及应用。 (2)能够使用电路仿真软件进行电路调试。 (3)掌握电子系统的一般设计方法。 (4)培养综合应用所学知识来指导实践的能力。 (5)掌握常用元器件的识别和测试。 (6)熟悉常用仪表,了解电路调试的基本方法。 2、设计要求 (1)提出具体方案 (2)给出所设计电路的原理图。 (3)进行电路仿真设计 (4)设计电路所需的直流电源。 (5)用分立元件和运放设计的万用表电路要求先用multisim进行电路仿真分析,仿真结果正确后,在进行安装调试。 3、设计指标 直流电压表:满量程+6V; 直流电流表:满量程10mA; 交流电压表:满量程6V,50HZ~1KHZ; 交流电流表:满量程10mA;

欧姆表:满量程分别为1KΩ,10KΩ,100KΩ 三、方案论证及选择 设计万用电表,总体来说有两种设计方案,来源于万用电表的指针式和数字式的两种类型。指针式万用电表,需有表头,同时主要用HA17741,LM324N等芯片,借助集成运放实现万用电表。但是考虑到表头的应用,还有本课题其他组同学采用这个方案,我们则采用了另一种方案,设计数字式万用电表。主要运用ICL7107来实现,ICL7107是高性能、低功耗的三位半A/D转换器电路。它包含七段译码器、显示驱动器、参考源和时钟系统,ICL7107可直接驱动发光二极管。所以,用此芯片可以直接把模拟信号转换成数字信号,然后通过数码管显示出来。在数据采集时,采用分开设计,直流电压测量电路,直流电流测量电路,欧姆表测量电路,交流电流、电流测量电路。 三、原理设计(或基本原理) (1)基本原理:ICL7107是高性能、低功耗的三位半A/D转换器电路。它包含七段译码器、显示驱动器、参考源和时钟系统,ICL7107可直接驱动发光二极管。ICL7107 是双积型的A/D 转换器,还集成了A/D 转换器的模拟部分电路,如缓冲器、积分器、电压比较器、正负电压参考源和模拟开关,以及数字电路部分如振荡源、计数器、锁存器、译码器、驱动器和控制逻辑电路等,使用时只需外接少量的电阻、电容元件和显示器件,就可以完成模拟到数字量的转换,从而满足设计要求。

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

数字电子技术基础课程设计DT-830B数字万用表报告

数字电子技术基础课程设计DT-830B数字万用表报告

三亚学院 2011~2012学年第2学期 数字电子技术基础课程设计报告 学院: 理工学院 专业: 测控技术与仪器 班级: 学号: 学生姓名: 指导教师: 2012年9月7日

目录 一、设计任务与要求……………………………………… 二、电路原理……………………………………………… 三、总原理图及元器件清单……………………………… 四、装配过程……………………………………………… 五、电路功能测试………………………………………… 六、结论与心得……………………………………………

DT-830B数字万用表的组装与调试 一、设计任务与要求 1、设计要求: 学习了解DT830B数字万用表,熟悉它的工作原理。然后安装并调试数字万用表。通过对DT830B数字万用表的安装与调试实训,了 解数字万用表的特点,熟悉装配数字万用表的基本工艺过程、掌握基本 的装配技艺、学习整机的装配工艺、培养自身的动手能力以及培养严谨 的学习工作作风。 DT830B由机壳熟料件(包括上下盖和旋钮)、印制板部件(包括插口)、液晶屏及表笔等组成,组装成功关键是装配印制板部件。因为 一旦被划伤或有污迹,将对整机的性能产生很大的影响。整机安装的流 程图如下所示: 3)认识DT830B数字万用表的液晶显示器件、印制板部件等。 4)安装制作一台DT830B数字万用表。 5)根据技术指标测试DT830B数字万用表的主要参数 6)校验数字式万用表,减小其误差。

二、电路原理 DT830B电路原理它是3位半数字万用表。 数字万用表的核心是以ICL7106A/D转化器为核心的数字万用表。A/D转化器将0~2V范围的模拟电压变成三位半的BCD码数字显示出来。将被测直流电压、交流电压、直流电流及电阻的物理量变成0~2V的直流电压,送到ICL7106的输入端,即可在数字表上进行检测。 为检测大于2V的直流电压,在输入端引入衰减器,将信号变为0~2V,检测显示时再放大同样的倍数。 检测直流电流,首先必须将被测电流变成0~2V的直流电压即实现衰减与I/V 变换。衰减是有精密电阻构成的具有不同分流系数的分流器完成。 电阻的检测是利用电流源在电阻上产生压降。因为被测电阻上通过的电流是恒定的,所以在被测电阻上产生的压降与其阻值成正比,然后将得到的电压信号送到A/D转换器进行检测。 三、总原理图及元器件清单

数字电路课程设计报告

课程设计任务书 学生姓名:吴培力专业班级:信息SY1201 指导教师:刘可文工作单位:信息工程学院 题目: 数字式电子锁的设计与实现 初始条件: 本设计既可以使用集成电路和必要的元器件等,也可以使用单 片机系统构建数字密码电子锁。自行设计所需工作电源。电路组成 原理框图如图1,数字密码锁的实际锁体一般由电磁线圈、锁栓、 弹簧和锁柜构成。当线圈有电流时,产生磁力,吸动锁栓,即可开 锁。反之则不开锁。 图1 数字式电子锁原理框图要求完成的主要任务:(包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)课程设计中,锁体用LED代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 2)其密码为4位二进制代码,密码可以通过密码设定电路自行设定。 3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,可重复进行,若连续三次未将锁打开,电路则报警并实现自锁。(报警动作为响1分钟,停10秒) 4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、年月日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、年月日至年月日,方案选择和电路设计。 3、年月日至年月日,电路调试和设计说明书撰写。 4、年月日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字电路课程设计弹道设计

淮海工学院 课程设计报告书 课程名称:电子技术课程设计(二)题目:弹道计时器设计 系(院): ////// 学期: 2010-2011-1 专业班级: 88 姓名: 999999 学号: 555555

一、所选课题: 弹道计时器的设计 二、任务与要求 设计一个用来测量手枪子弹等发射物速度的便携式电池供电计时器,这种计时器可用来测定子弹或其他发射物的速度。竞赛射手通常用这种设备来测定装备的性能。 基本操作要求是:射手在两个分别产生起始测量脉冲和终止测量脉冲的光敏传感器上方射出一个发射物,两个光传感器(本例中假定为阴影传感器)分开放置,两者之间的距离已知。发射物在两个传感器之间的飞行时间直接与发射物的速度成正比。如下图所示,当子弹等发射物从上方经过起始传感器产生ST 信号,经过终止传感器时产生SP 信号。传感器之间的距离是固定的。通过测量子弹等发射物经过传感器之间的时间T 就可计算出子弹的速度V=S/t 。 图1 三、方案制定 使用中规模集成电路设计弹道计时器。此方案中主要用到555定时器、十进制计数器、译码器、七段数码管以及一些小型门电路和触发器等。 四、弹道计时器的原理 运用中规模集成电路设计本课题要分为一下几点: (1)传感器对计数器的控制。 在传感器的选择上,要注意传感器的输出信号能否直接控制下一级电路。此论文中采用天幕靶控制计数器的工作与停止。天幕靶是一种光电传感器,它能将光信号转变成电信号,在子弹遮蔽第一个天幕靶时,即会产生一个脉冲,此脉冲带动计数器工作,在子弹遮蔽下一个天幕靶时又产生一个脉冲,让计数器停止工作。若将此脉冲作为使能信号, 就必须使其从子弹到达第一个天幕靶一直维持到 起始传感器 终止传感器 阳光 弹道

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

数字电路课程设计报告

数字电路课程设计报告 导语:真正的知识来自内心,而不是得自别人的传授。同时,唯有出自内心的知识,才能使人拥有真正的智慧。以下小编为大家介绍数字电路课程设计报告文章,欢迎大家阅读参考! 数字电路课程设计报告设计题目:数字电子钟逻辑电路专业班级:自动化112班学生姓名: xx 学号:xx指导教师: xx 设计时间: xx 教师评语:成绩评阅教师日期 所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能实现准确计时,并显示时、分、秒,而且可以方便准确的对时间进行调节。在此基础上,还可以实现整点报时的功能。因此,数字钟的应用十分广泛。我们要通过这次的课程设计掌握数字钟的原理,学会设计简单的数字钟。 用中小规模集成电路设计一台能显示时、分、秒24时制地的数字电子钟,具体要求如下: 时为00-23二十四进制计数器;秒、分为00-59六十进制计数器; 整点报时,整点报时电路要求在每个整点前鸣叫五次低音,整点时再鸣叫一次高音。

数字电子钟所采用的是十六进制计数器74LS161和十进制计数器74SL160,根据时分秒各个部分的的不同功能,设计成不同进制。秒的个位,需要10进制计数器,十位需6进制计数器。秒部分设计与分钟的设计完全相同;时部分的设计为当时钟计数到24时,使计数器的小时部分清零,从而实现整体循环计时的功能。 (1)数字电子技术实验系统箱, (2)直流稳压电源, (3)集成芯片:74LS161 2个、74LS160 4个、 74LS00 2个、 74LS20 1个。 (4)喇叭,1/4W、8Ω。 计数部分:利用74LS161芯片,74LS160芯片和74LS00芯片组成的计数器,它们采用异步连接,利用外接标准1Hz 脉冲信号进行计数。 1. 显示部分:将两片74LS161芯片和四片74LS60的Q0Q1Q2Q3脚分别接到实验箱上的数码显示管上,根据脉冲的个数显示时间。 (一)设计步骤及方法 所有74LS161芯片和74LS160的16脚接5V电源(置为1),3脚、4脚、5脚、6脚和8脚接地。74LS00芯片的14脚接5V电源,7接地。 1. 秒设计 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器74LS160和与非门74LS00在面包板上设计10进制计数器显示秒的个位。

数字电路课程设计

数字电路课程设计 姓名:李志波 专业:电子信息工程 年级:2012级

数字闹钟计时器 一.实验目的 1.通过这个实验进一步了解掌握各种功能芯片的功能,并能够在电路系统中正确应用。 2.强化巩固专业课课程内容,学会对电路的系统分析。 3.初步了解基础的电路设计思路和方法,锻炼自己的动手能力,巩固电子焊接技术。 二.实验原理 1.显示译码器 74LS248(74LS48)是BCD码到七段码的显示译码器,它可以直接驱动共阴极数码管。它的引脚图及功能如下: (a)要求输入数字0~15时“灭灯输入端”BI必须开路或保持高电平,如果不要灭十进制的0,则“动态灭灯 输入”RBI必须开路或者为高电平。 (b)当灭灯输入端BI接低电平时,不管其他输入端为何种电平,所有各端输出均为低电平。 (c)BI/RBO是线与关系,既是“灭灯输入端”BI又是“动态灭灯输出端”RBO。 2.数码显示器 LC5011-11就是一种共阴极数码显示器,它的管脚图如图1,X为共阴极,DP为小数点。其内部是八段发光二极管的负极连在一起的电路。当在a.b.c.d.e.f.g.DP加上正向电压时,各段

二极管就会被点亮,例如,利用74LS48和数码管组合成的显 示译码电路。 ABCD 四个引脚接上一级输出 LT,RBO/BI ,RBI 接高电平,或悬空。 3,十进制集成计数电路74LS90 74LS90时异步二-五-十进制计数器。其管脚图如图 U1 74LS90D Q A 12Q B 9Q D 11 Q C 8I N B 1 R 916 R 927R 012I N A 14R 02 3 G N D 10 V C C 5它的内部由两个计数电路组成,一个为二 进制,计数电路,计数脉冲输入端为CP1,输出端为QA QB QC QD.这两个计数器可独立使用,当QA 连到CP2时,可构成十进制计数器。 它具有复零输入端ROA,ROB 和复9输入端R9A R9B 。如果复零输入端ROA,ROB 皆为高电平时,计数器复零;如果复9输入端R9A,R9B 皆为高电平时,计数器复9。计数时ROA,ROB 其中之一接高电平或者二者都接高电平,并要求复9输入端R9A,R9B 其一接低电平或者同时接低电平。用74LS90接成的24 进 制 计 数 器 电 路 如 图

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

数字电子钟课程设计报告-数电

华东交通大学理工学院课程设计报告书所属课程名称数字电子技术课程设计题目数字电子钟课程设计分院电信分院 专业班级10电信2班 学号20100210410201 学生姓名陈晓娟 指导教师徐涢基 20 12 年12 月18 日

目录 第1章课程设计内容及要求 (3) 第2章元器件清单及主要器件介绍 (5) 第3章原理设计和功能描述 (10) 第4章数字电子钟的实现 (15) 第5章实验心得 (17) 第6章参考文献 (18)

第1章课程设计内容及要求 1.1 数字钟简介 20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。 因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。 1.2 设计目的 1. 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2. 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3. 提高电路布局,布线及检查和排除故障的能力。 1.3 设计要求 1. 设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。 2. 用中小规模集成电路组成电子钟,并在实验箱上进行组 装、调试。 3. 画出框图和逻辑电路图、写出设计、实验总结报告。 4. 整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

『数字电路』课程设计指导书

『数字电路』课程设计指导书

『数字电路』课程设计指导书 一、教学目标 (一)课程性质 课程设计。 (二)课程目的 训练学生综合运用学过的数字电路的基本知识,独立设计比较复杂的数字电路的能力。 二、教学内容基本要求及学时分配 (一)课程设计题目 题目见附录I,原则上每人一题。 (二)设计内容 拿到题目后首先进行电路设计。然后在微机上进行原理图输入、编译和软件仿真,如满足设计要求,再进行下载和硬件实验。如硬件实验结果不满足要求,则修改设计,直到满足要求为止。 (三)设计要求 (1)按题目要求的逻辑功能进行设计,电路 2

各个组成部分须有设计说明; (2)必须采用原理图输入法; (3)软件仿真完成后,必须经教师允许方可进行下载; (四)使用的硬件和软件 硬件为可编程逻辑器件EPM7128S;软件为MAX+PLUSII。见附录。 三、主要教学环节 (一)设计安排 1. 课程设计时间为两周,每人一台微机; 2.第1、2天讲授设计需要的硬件和软件、 设计的要求、布置设计题目; 3.第3~8天学生进行设计; 4.第9、10天教师验收,然后学生撰写和 打印设计报告。 (二)指导与答疑 每天都有教师现场答疑,学生有疑难问题可找教师答疑。学时应充分发挥主观能动性,不应过 3

分依赖教师。 (三)设计的考评 设计全部完成后,须经教师验收。验收时学生要讲述自己设计电路的原理、仿真情况,还要演示硬件实验结果。 教师根据学生设计全过程的表现和验收情况给出成绩。 四、课程设计报告的内容和要求 (一)课程设计报告的内容 按附录中给出的报告模板进行编写,用A4纸打印,左侧装订。 (二)课程设计报告编写的基本要求 (1)按设计指导书中要求的格式书写,所有的内容一律打印; (2)报告内容包括设计过程、软件仿真的结果及分析、硬件仿真结果及分析; (3)要有整体电路原理图、各模块原理图; (4) 软件仿真包括各个模块的仿真和整体电路 4

数字电路课程设计报告

目录 一.课程设计题目 二.设计的任务和要求 三.设计与调试 四.系统总体设计方案及系统框图 五.设计思路 六.电路连接步骤 七.电路组装中发生的问题及解决方案 八.所选方案的总电路图 九.实验结果 十.心得体会

一、课程设计题目 交通灯控制系统设计 二、设计的任务和要求 1)在严格具有主、支干道的十字路口,设计一个交通灯自动控制装置。要求:在十字路口的两个方向上各设一组红黄绿灯;顺序无要求; 2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间。红(主:R,支:r)绿(主:G,支:g)黄(主:Y,支:y)三种颜色灯,由四种状态自动循环构成(Gr→Yr→Rg→Ry);并要求不同状态历时分别为:Gr:30秒,Rg:20秒,Yr,Ry:5秒 三、设计与调试 1、按照任务要求,设计电路,计算相关参数,选择电子元器件 2、根据所设计的电路和所选择的器件搭接安装电路 3、接步骤进行调试电路 4、排除故障,最终达到设计要求 四、系统总体设计方案及系统框图 方案一:芯片设计 (1)芯片功能及分配 交通灯控制系统主要由控制器、定时器、译码器、数码管和秒脉冲信号发生器等器件组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。 1)系统的计时器是由74LS161组成,其中应因为绿灯时间为30秒,所以绿灯定时器由两块74LS161级联组成.74LS161是4位二进制同步计数器,它具有同步清零,同步置数的功能。 2)系统的主控制电路是由74LS74组成,它是整个系统的核心,控制信号灯的工作状态。 3)系统的译码器部分是由一块74LS48组成,它的主要任务是将控制器的输出翻译成6个信号灯的工作状态。整个设计共由以上三部分组成。 2)各单元电路的设计: 1. 秒脉冲信号发生器

数字电路课程设计总结报告

数字电路课程设计总结报告题目:交通灯控制器 班级:08通信工程1班 学号:0810618125 姓名:廖小梅 指导老师:张红燕 日期:2010年12月

目录 1、设计背景 2、设计任务书 3、设计框图及总体描述 4、各单元设计电路设计方案与原理说明 5、测试过程及结果分析 6、设计、安装、调试中的体会 7、对本次课程设计的意见及建议 8、附录 9、参考文献 10、成绩评定表格

一、设计背景 随着经济的快速发展,城市交通问题日益凸显严重,尤其在城市街道的十字叉路口,极其容易发生交通问题,为了保证交通秩序和人们的安全,一般在每条街上都有一组红、黄、绿交通信号灯。交通灯控制电路自动控制十字路口的红、黄、绿交通灯。交通灯通过的状态转换,指挥车辆行人通行,保证车辆行人的安全,实现十字路口交通管理自动化。 二、设计任务书 1、设计一个十字路口的交通灯控制电路,要求南北方向(即 A车道)和东西方向(即B车道)两条交叉道路上的车辆 交替运行,每次通行时间都为30秒; 2、在绿灯转红灯时,先由绿灯转为黄灯,黄灯亮6秒后,再 由黄灯转为红灯,此时另一方向才由红灯转为绿灯,车辆 才开始通行。 三、设计框图及总体描述 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。

图1交通灯控制系统原理框图 在图中, T30: 表示甲车道或乙车道绿灯亮的时间间隔为30秒,即车辆正常通行的时间间隔。定时时间到,T30 =1,否则,T30 =0。 T6:表示黄灯亮的时间间隔为6秒。定时时间到,T6=1,否则,T6=0。 S T:表示定时器到了规定的时间后,由控制器发出状态转换信号。 由它控制定时器开始下个工作状态的定时。 交通系统的车道信号灯的工作状态转换如下所述: 状态1:A车道绿灯亮,B车道红灯亮。表示A车道上的车辆允许通行,B车道禁止通行。绿灯亮满规定的时间隔T30时, 控制器发出状态信号S T,转到下一工作状态。 状态2:A车道黄灯亮,B车道红灯亮。表示A车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,B车 道禁止通行。黄灯亮足规定时间间隔TY时,控制器发 出状态转换信号S T,转到下一工作状态。 状态3:A车道红灯亮,B车道黄灯亮。表示A A车道禁止通行,B车道上的车辆允许通行绿灯亮满规定的时间间隔T30 时,控制器发出状态转换信号S T,转到下一工作状态。

数字电子技术课程设计

数字电子技术课程设计报告 指导老师:XXX 班级:XXX 学号:XXX 姓名:XXX 浙江理工大学本科课程设计任务书

1产品简介 红外线心率计就就是通过红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。但手指中的毛细血管的波动就是很微弱的,因此需要一个高放大倍数且低噪声的放大器,这就是红外线心率计的设计关键所在。通过本产品的制作,可以使学生掌握常用模拟、数字集成电路(运算放大器、非门、555定时器、计数器、译码器等)的应用。 2 红外线心率计工作原理 2、1 红外线心率计的原理框图 整机电路由-10V电源变换电路、血液波动检测电路、放大整形滤波电路、3位计数器电路、门控电路、译码驱动显示电路组成,如图1所示。 2、2 单元电路的工作原理 ⑴负电源变换电路 为简化实验的步骤,实验中直接用+12V、与-10V的电源代替负电源变换电路。 ⑵血液波动检测电路 实验中采用信号源发生器直接产生正弦波代替原来的血液波动检测电路。 ⑶放大、整形、滤波电路 放大、整形、滤波电路就是把传感起检测到的微弱电信号进行放大、整形、滤波,最后输出反映心跳频率的方波,如图5所示。其中LM741为高精度单运放电路,它们的引脚功能如图3 (b)所示。IC2、IC3、IC4都为LM741。

图5 信号放大、整形电路 因为传感器送来的信号幅度只有2~5毫伏,要放大到10V 左右才能作为计数器的输入脉冲。因此放大倍数设计在4000倍左右。两级放大器都接成反相比例放大器的电路,经过两级放大、反相后的波形就是跟输入波形同相、且放大了的波形。放大后的波形就是一个交流信号。其中A 1、A 2的供电方式就是正负电源供电,电源为+12V 、-10V 。 A 1、A 2与周围元件组成二级放大电路,放大倍数A uf 为: 40006666R R R R A 6 8 34uf ≈?=?= 由于放大后的波形就是一个交流信号,而计数器需要的就是单方向的直流脉冲信号。所以经过V 3检波后变成单方向的直流脉冲信号,并把检波后的信号送到RC 两阶滤波电路,滤波电路的作用就是滤除放大后的干扰信号。R 9、V 4组成传感器工作指示电路,当传感器接收到心跳信号时,V 4就会按心跳的强度而改变亮度,因此V 4正常工作时就是按心跳的频率闪烁。直流脉冲信号滤波后送入A 3的同相输入端,反相输入端接一个固定的电平,A 3就是作为一个电压比较器来工作的,就是单电源供电。当A 3的3脚电压高于2脚电压的时候,6脚输出高电平;当A 3的3脚电压低于2脚电压的时候,6脚输出低电平,所以A 3输出一个反应心跳频率的方波信号。 ⑷ 门控电路 555定时器就是一种将模拟电路与数字电路集成于一体的电子器件,用它可以构成单稳态触发器、多谐振荡器与施密特触发器等多种电路。555定时器在工业控制、定时、检测、报警等方面有广泛应用。 555定时器内部电路及其电路功能如图6(a)、(b)所示。555内部电路由基本RS 触发器FF 、比较器COMP 1、COMP 2与场效应管V1组成(参见图6(a))。当555内部的COMP 1反相输入 端(-)的输入信号V R 小于其同相输入端(+)的比较电压V CO (DD 3 2V V co =)时,COMP 1输出高电位,置触发器FF 为低电平,即Q=0;当COMP 2同相输入端(+)的输入信号S V 大于其反相输入端(-)的比较电压V CO /2(1/3V DD )时,COMP 2输出高电位,置触发器FF 为高电平,即Q=1。D R 就是直接复位端,0R D =,Q=0;MOS 管V 1就是单稳态等定时电路时,供定时电容C 对地放电作用。 注意:电压V CO 可以外部提供,故称外加控制电压,也可以使用内部分压器产生的电压,这时COMP 2的比较电压为V DD /3,不用时常接0、01μF 电容到地以防干扰。

数字电路课程设计

课题名称:数字电子技术 所在院系:电气工程系 班级:093353班 学号:37 姓名:肖龙 指导老师:邓春丽、王文平 时间:2010(12.27-12.31)

数字电子课程设计任务书 教研室主任签字:年月日

目录 设计任务与要求 (3) 总体方案设计 (3) 部分电路工作原理 (3) 总体电路设计 (4) 实验器材清单 (7) 总结 (8) 参考文献 (8)

一、设计任务与要求 1、抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。 2、设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3、抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED 数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4、参赛选手在进行抢答时,显示器上显示选手的编号,并保持到主持人将系统清除为止。 二、总体方案设计与论证 如图所示为总体方框图。其工作原理为: 1、接通电源后,主持人将开关拨到“清除”状态,抢答器处于静止状态,编号显示器和指示灯灭,等主持人将开关置“开始”位置后,抢答器处于等候状态,此时额可以进行抢答。 2、抢答器完成,优先判断抢答的组号,并将编号进行锁存,然后通过译码器将编号显示在七段数码管上,并且扬声器提示。 3、如果再次抢答必须由主持人操作“清除”和“开始”状态的开关,即需要主持人清零。 三、部分电路工作原理 1、开关阵列电路 该电路由多路开关所组成,供抢答着使用,每一抢答者与一个开关相对应(开关S0—S7的代号分别是0、1、2、3、4、5、6、7,即抢答着的组号,便于主持人看到显示器上的数字后,能准确宣布谁是优先抢答者)。开关应为常开型,当按下开关时,开关闭合;当松开开关时,开关自动弹出断开。 2、触发锁存电路

数字电子钟课程设计方案实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号:1405024119 李子鹏学号:1405024125 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日

课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号:1405024119 李子鹏学号:1405024125 指导教师:姚爱琴 2017 年 1 月 6 日

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号:1405024119 李子鹏学号:1405024125 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.1秒信号电路 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.4校时电路 (9) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12)

数字电子技术基础课程设计

电子产品 交通信号控制灯电路设计题目 班级名称 学生姓名1 电话号码 学生姓名2 电话号码 学生姓名3 电话号码 学生姓名4 电话号码 学生姓名5 电话号码

一 问题重述 用中、小规模集成电路设计一个交通灯控制系统,基本要求如下: (1) 要求主干道与支干道交替通行。主干道通行时,主干道绿灯亮,支干道红 灯亮,时间为60秒。支干道通行时,支干道绿灯亮,主干道红灯亮,时间为30秒。 (2) 每次绿灯变红灯时,要求黄灯先亮5秒钟。此时另一路口红灯也不变。 (3) 黄灯亮时,要求黄灯闪烁,频率为1Hz 。 (4) 要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)均有倒计时显 示。 二 课题分析 (1)系统整体设计 根据题目要求,得出交通灯顺序工作流程图如图1所示 图1 交通灯工作流程图 (2)设计原理图 分析要求,画出原理框图如图2所示。 图2 原理框图 主干道绿灯,支干道红灯,占60秒 主干道黄灯,支干道红灯,占5秒 主干道红灯,支干道绿灯,占30秒 主干道红灯,支干道黄灯,占5秒

(3)单元电路设计 1)秒脉冲发生器 秒脉冲发生器可以采用多谐振荡器直接振荡出1秒的脉冲信号,多谐振荡器可采用555定时器组成; 2)控制电路 主支干道控制电路分别是由三个以秒脉冲发生器为时钟的减数计数器构成,当电路稳定以后,第一个减数计数器运行,另外两个保持在一个固定值。减数器减到到零后会保持,再驱使第二个减数器运行,此时另外两个计数器处于保持状态,当其减到零后同样会保持,并让第三个计数器工作,当第三个计数器也减到零时,此时第三个计数器产生一个借位输出,以此信号为置数信号,使三个计数器重新置到一个固定值,然后第一个计数器重新运行,重复以上步骤。 3)信号灯 主干道:绿灯只要控制它的计数器不为零就亮,黄灯则在控制绿灯的计数器为零并且红灯不亮时才亮,红灯是在控制绿灯的计数器与控制黄灯的计数器为零时才亮。 支干道:红灯只要控制它的计数器不为零就亮,绿灯则在控制绿灯的计数器为零并且红灯不亮时才亮,黄灯是在控制绿灯的计数器与控制黄灯的计数器为零时才亮。 三选用器件 本设计需要用到一下器件:NE555、74LS192D、自带译码器的七段显示管DCD_HEX_DIG_RED、 NE555 引脚图: 图3 NE555引脚图 74LS192D 引脚图;

相关文档
相关文档 最新文档