文档库 最新最全的文档下载
当前位置:文档库 › EDA考试资料

EDA考试资料

EDA考试资料
EDA考试资料

1、VHDL里面有三种数据对象:信号、变量、常量。

2、HDL是指:硬件描述语言。

3、输入方式有哪三种输入:文本、原理图、波形

4、仿真文件后缀名为:vwf,图形文件的后缀名为:bdf,文本文件的后缀名为:VHD,工程文件:qpf

5、变量定义的位置有:进程、子程序内。信号定义位置:进程、子程序外。

6、FPGA、CPLD分别是什么,查找表编程器件,复杂可编程逻辑器件。

VHDL的两大类:顺序语句、并行语句

7、获得三态门控制门电路的方法:可以首先将定义为STD_LOGIC数据类型,将’Z’赋给这个变量来换得三态门控制门电路,一个’Z’表示一个逻辑位。

8、标识符的组成由英文字母、数字、下划线组成。

9、设计D触发器的条件,1、不完整的判别语句。2、多进程设计异步时序逻辑器件。

10、VHDL 3种设计方法有:基于逻辑门电路设计方法,基于数据流设计方法,基于行为描述设计方法。

12、进程语句开始,启动条件:敏感数据参数表发生电平变化。

13、在LOOP循环中,next语句回到下次循环起点,exit语句跳出整个循环。

FSM指:有限状态机。从状态机的信号输出方式上分:Mealy型和Moore型

14、表达式C<=A+B中,A.B.C的数据类型是STD_LOGIC_VECTOR,是否能直接进行加法运算?说明原因和解决方法?

对于VHDL的“+”算术运算符,只支持整数之间进行加法运算,所以对于A.B.C的数据类型是标准逻辑矢量,不允许直接进行加法运算。解决的方法可以调用运算符重载函数,即赋予”+“运算符新的功能,支持矢量之间运算。该运算符重载函数被定义在STD_LOGIC_UNSIGNED程序包中,在程序中打开程序包,则可以调用重载函

15.VHDL中有哪3种数据对象?详细说明它们的功能特点以及使用方法,举例说明数据对象与数据类型的关系?

VHDL有三种数据对象:常数、信号和变量

常数:固定值,不能在程序中被改变

增强程序的可读性,便于修改程序

在综合后,连接到电源和地

可在Library、Entity、Architecture、Process中进行定义,其有效范围也相应限定

常数说明:Constant 常数名:数据类型:=表达式

变量:临时数据,没有物理意义只能在Process和Function中定义,必须在进程和子程序的说明性区域说明,并只在其内部有效

要使其全局有效,先转换为Signal。

用:= 进行赋值

变量说明:variable 变量名:数据类型

信号:代表连线,Port也是一种信号

在Entity中和Architecture中定义

用<= 进行赋值

保留字—— SIGNAL

信号说明:signal 信号名:数据类型;

解答题:

1、case语句with select语句的区别:

相同点:(1)选择值必须在表达式取值范围内。

(2)每一选择值之只能出现一次,不能有重叠。

(3)不允许有条件缺失,若条件涵盖不全,但必须用when others。

(4)执行时只能选中其中一条。

不同点:(1)CASE语句顺序执行语句,with select 语句是并行执行语句

(2)CASE语句只能在process中或子程序中,with select语句不能在process或子程序中。

2、进程语句使用的要点:

a)process为一无限循环语句。

b)process中的顺序语句具有明显的顺序/并行运行双重性

c)进程必须由敏感信号的变化来启动

d)进程语句本身是并行语句

e)信号是多个进程间的通信线

f)一个进程中只允许描述对应于一个时钟信号的同步时序逻辑

3

4、端口inout和buffer模式区别:inout为双向端口,buf不能从芯片外部接受,其功能与

inout相似,区别在于当需要输入数据时,只允许内部回读输出的信号,即允许反馈。

与inout模式相比,buffer回读的信号不是由外部输入的,而是由内部产生、向外部输出的信号。

5、原件例化语句、block语句的不同点:原件例化语句是多层次的,而block语句是同层次

的。

6、过程和函数的区别:1、过程可具有多个返回值,而函数只能有一个。2、过程通常用来

定义一个算法,而函数通常用来产生一个特定的值。3、过程中的参数只能有三种端口模式:in out inout,而函数中的参数只能具有一种端口模式in。4、过程一般被看作一种语句结构,函数通常是表达式的一部分。过程可以单独存在,而函数通常作为语句的一部分调用。

7、VHDL三种原代码:LIBRARY ENTITY architecture

4-1 画出的原理图符号文件:

ENTITY buf3s IS ——实体1:三态缓冲器

PORT(input :IN STD_LOGIC ;——输入端

enable :IN STD_LOGIC;——使能端

output :OUT STD_LOIGC); ——输出端

END buf3x; 实体1

1

ENTITY mux21 IS —实体2:2选1多路选择器

PORT(in0,in1,sel:IN STD_LOGIC;

output :OUT STD_LOGIC);

实体2

4-2 图示的4选1多路选择器,试分别用IF-THEN语句和CASE语句的表达方式写出此电路的VHDL程序,选择控制的信号s1和s0的数据类型为STD_LOGIC_VECTOR;当s1=‘0’,s0=‘0’;s1=‘0’,s0=‘1’;s1=‘1’,s0=‘0’和s1=‘1’,s0=‘1’;分别执行y<=a、y<=b、y<=c、y<=d.

解一:用IF-THEN语句

library ieee;

use ieee.std_logic_1164.all;

entity mux is port(

a, b, c, d: in std_logic;

s: in std_logic_vector(1 downto 0);

x: out std_logic);

end mux;

architecture archmux of mux is

begin

mux4_1: process (a, b, c, d,s)

begin

if s = "00" then

x <= a;

elsif s = "01" then

x <= b;

elsif s = "10" then

x <= c;

else

x <= d;

end if;

end process mux4_1; end archmux;

解二:用CASE语句

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY mux41_2 IS

PORT (a,b,c,d: IN STD_LOGIC;

s:IN STD_LOGIC_VECTOR(1 DOWNTO 0);

y:OUT STD_LOGIC );

END ENTITY mux41_2; ARCHITECTURE BHV OF mux41_2 IS

BEGIN

PROCESS(a,b,c,d,s)

begin

case s is

when "00"=> y<=a;

when "01"=> y<=b;

when "10"=> y<=c;

when "11"=> y<=d;

--when others=> y<='Z';

end case;

end process;

END ARCHITECTURE BHV ;

4-3 图示的是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s=‘0’和’1’时,分别有y<=a和y<=b.试在一个结构体中用两个进程来表达此电路,每个进程中用CASE语句描述一个2选1多路选择器MUX21A。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY muxk IS

PORT (a1,a2,a3,s1,s0: IN STD_LOGIC;

outy:OUT STD_LOGIC ); END ENTITY muxk;

ARCHITECTURE BHV OF muxk IS

signal tmp:std_logic;

begin

p_a: PROCESS(a2,a3,s0)

begin

if s0='0' then tmp<=a2;

else tmp<=a3;

end if;

end process p_a;

p_b: process(tmp,a1,s1)

begin

if s1='0' then outy<=a1;

else outy<=tmp;

end if;

end process p_b;

END ARCHITECTURE BHV ;

4-4 图示的是一个含有上升沿触发的D触发器的时序电路,试写出此电路的VHDL设计文件。

LIBRARY IEEE ;

USE IEEE.STD_LOGIC_1164.ALL ; ENTITY dffn IS

PORT ( CLK0,CL : IN STD_LOGIC ;

OUT1: OUT STD_LOGIC) ; END ;

ARCHITECTURE bhv OF dffn IS SIGNAL Q1 : STD_LOGIC;

BEGIN PROCESS (CLK0)

BEGIN

IF CLK0'EVENT AND (CLK0='1')

THEN Q1<=NOT(Q1 OR CL);

END IF;

END PROCESS ;

OUT1<=NOT(Q1);

END bhv;

9-15用两种方法设计8位比较器,比较器的输入是两个待比较的8位数A=[A7..A0]和B=[B7..B0],输出是D,E,F.。当A=B时D=1;当A>B时E=1;当A

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY bijiao1 IS

PORT (a,b:in std_logic_vector(7 downto 0);

d,e,f: out std_logic);

END bijiao1;

ARCHITECTURE ex1 OF bijiao1 IS

BEGIN

e<='1' when a>b else '0';

d<='1' when a=b else '0';

f<='1' when a

End ex1;

采用减法操作符

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

use ieee.std_logic_arith.all;

use ieee.std_logic_signed.all;

ENTITY bijiao2 IS

PORT (a,b:in signed(7 downto 0);

d,e,f: out std_logic);

END bijiao2;

ARCHITECTURE ex1 OF bijiao2 IS BEGIN

d<='1' when (a-b)=0 else '0';

f<='1' when (a-b)<0 else '0';

e<='1' when (a-b)>0 else '0';

End ex1;

9-14运算符号重载函数通常要调用转换函数,以便能够利用已有的数据类型。下面给出一个新的数据类型AGE,并且下面的转换函数已经实现:

function CONV_INTEGER(ARG:AGE) return INTEGER;

利用此函数编写一个“+”运算符重载函数,支持下面的运算:

SIGNAL a, c: AGE;

. . .

c < = a + 2 0;

Function “+”(l:age,r:integer) return age is

begin

return conv_age(conv_integer(L)+R);

end;

10-7 将以下程序段转换为WHEN_ELSE语句:

PROCESS(a,b,c,d)

BEGIN

IF a=‘0’ AND b=‘1’ THEN next1<=“1101”; ELSEIF a=‘0’THEN next1<=d; ELSEIF b=‘1’THEN next1<=c;

ELSE

next1<=“1011”;

END IF;

END PROCESS; 答案:

BEGIN

next1<=“1101”When a=‘0’AND b=‘1’ELSE

d when a=‘0’ELSE

c when b=‘1’ELSE

“1011”;

END;

6-8 判断下面三个程序中是否有错误,若有则指出错误所在,并给出完整程序。

程序1:

SIGNAL A,EN : STD_LOGIC ;

PROCESS (A,EN)

VARIABLE B: STD_LOGIC;

BEGIN

IF EN = 1 THEN IF EN=’1’ THEN

B <=A; B:=A;

END IF;

END PROCESS ;

END ;

程序2:

Architecture one of sample is

VARIABLE a,b,c: integer; Signal a,b,c:integer;

BEGIN

c <=a + b;

END ;

分析:

(1)变量定义只能在进程或者子程序中。(2)变量的赋值符号和信号的赋值符号不同程序3:

LIBRARY IEEE ;

USE IEEE.STD_LOGIC_1164.ALL ;

ENTITY mux21 IS

PORT ( a,b : IN STD_LOGIC ;

sel: IN STD_LOGIC;

c : OUT STD_LOGIC;); c:out std_logic);

END sam2; END mux21;

ARCHITECTURE one OF mux21 IS begin

BEGIN PROCESS(a,b,sel);

IF sel = ‘0' THEN begin

c := a; else c := b;c<=a;else c<=b;

END IF;

END two ; END one;

8-1 仿照例8-1,将例8-4用两个进程,即一个时序进程,一个组合进程表达出来。

8-4 根据图8-16(a),按照图8-16(b)写出对应结构的VHDL状态机

EDA期末考试考卷及答案

(A卷) 赣南师范学院 2010—2011学年第一学期期末考试试卷(A卷)(闭卷)年级 2008 专业电子科学与技术(本)课程名称 EDA技术基础 2、学生答题前将密封线外的内容填写清楚,答题不得超出密封线; 3、答题请用蓝、黑钢笔或圆珠笔。 一、单项选择题(30分,每题2分) 1.以下关于适配描述错误的是 B A.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件 B.适配所选定的目标器件可以不属于原综合器指定的目标器件系列 C.适配完成后可以利用适配所产生的仿真文件作精确的时序仿真 D.通常,EDAL软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供 2.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A.器件外部特性B.器件的综合约束 C.器件外部特性与内部功能D.器件的内部功能 3.下列标识符中, B 是不合法的标识符。 A.State0 B.9moon C.Not_Ack_0 D.signall 4.以下工具中属于FPGA/CPLD集成化开发工具的是 D A.ModelSim B.Synplify Pro C.MATLAB D.QuartusII 5.进程中的变量赋值语句,其变量更新是 A 。 A.立即完成B.按顺序完成 C.在进程的最后完成D.都不对 6.以下关于CASE语句描述中错误的是 A A.CASE语句执行中可以不必选中所列条件名的一条 B.除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN OTHERS=><顺序语句>” C.CASE语句中的选择值只能出现一次 D.WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围 7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A.STD_LOGIC_ARITH B.STD_LOGIC_1164 C.STD_LOGIC_UNSIGNED D.STD_LOGIC_SIGNED 8.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→A →综合→适配→时序仿真→编程下载→硬件测试。 A.功能仿真B.逻辑综合C.配置D.引脚锁定 9.不完整的IF语句,其综合结果可实现 D A.三态控制电路B.条件相或的逻辑电路 C.双向控制电路D.时序逻辑电路 10.下列语句中,属于并行语句的是A A.进程语句B.IF语句C.CASE语句D.FOR语句11.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中, C 是错误的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件 B.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的 C.综合是纯软件的转换过程,与器件硬件结构无关 D.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 12.CPLD的可编程是主要基于什么结构 D 。 A.查找表(LUT)B.ROM可编程 C.PAL可编程D.与或阵列可编程 13.以下器件中属于Altera 公司生产的是 B A.ispLSI系列器件B.MAX系列器件 C.XC9500系列器件D.Virtex系列器件 14.在VHDL语言中,下列对时钟边沿检测描述中,错误的是 D A.if clk'event and clk = '1' then B.if clk'stable and not clk = '1' then C.if rising_edge(clk) then D.if not clk'stable and clk = '1' then 15.以下关于状态机的描述中正确的是 B A.Moore型状态机其输出是当前状态和所有输入的函数 B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期C.Mealy型状态机其输出是当前状态的函数

(完整版)EDA期末考试题1

1.一个项目的输入输出端口是定义在( A )1-5 ACDCD 6-10 CCACA A. 实体中;. B. 结构体中; C. 任何位置; D. 进程中。 2. MAXPLUS2中编译VHDL源程序时要求( C ) A. 文件名和实体可以不同名; B. 文件名和实体名无关; C. 文件名和实体名要相同; D. 不确定。 3. VHDL语言中变量定义的位置是(D ) A. 实体中中任何位置; B. 实体中特定位置; C. 结构体中任何位置; D. 结构体中特定位置。 4.可以不必声明而直接引用的数据类型是(C ) A. STD_LOGIC ; B. STD_LOGIC_VECTOR; C. BIT; D. ARRAY。 5. MAXPLUS2不支持的输入方式是(D ) A 文本输入;.B. 原理图输入;C. 波形输入;D. 矢量输入。 6.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是( C ) A. FPGA全称为复杂可编程逻辑器件; B. FPGA是基于乘积项结构的可编程逻辑器件; C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。 7.下面不属于顺序语句的是( C ) A. IF语句; B. LOOP语句; C. PROCESS语句; D. CASE语句。 8. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是( A ) A. 器件外部特性; B. 器件的内部功能; C. 器件的综合约束; D. 器件外部特性与内部功能。 9. 进程中的信号赋值语句,其信号更新是( C ) A. 按顺序完成; B. 比变量更快完成; C. 在进程的最后完成; D. 都不对。 10. 嵌套使用IF语句,其综合结果可实现:(A ) A. 带优先级且条件相与的逻辑电路; B. 条件相或的逻辑电路; C. 三态控制电路; D. 双向控制电路。 一、单项选择题:(20分) 1. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述

EDA期末考试题大全

附带: 一.问答题 1信号赋值语句在什么情况下作为并行语句?在什么情况下作顺序语句?信号赋值和变量赋值符号分别是什么?两种赋值符号有什么区别? ●信号赋值语句在进程外作并行语句,并发执行,与语句所处的位置无关。信号赋值语句在进程内 或子程序内做顺序语句,按顺序执行,与语句所处的位置有关。 ●信号赋值符号为“<=”变量赋值用“:=”。信号赋值符号用于信号赋值动作,不立即生效。 变量,赋值符号用于变量赋值动作,立即生效。 2进程的敏感信号表指的是什么?简述敏感信号表在进程中的作用? ●进程的“敏感信号表”也称敏感表,是进程的激活条件,可由一个或多个信号组成,各信号 间以“,”号分隔。当敏感信号表中的任一个信号有事件发生,即发生任意变化,此时,进程被激活,进程中的语句将从上到下逐句执行一遍,当最后一条语句执行完毕之后,进程即进入等待挂起状态,直到下一次敏感表中的信号有事件发生,进程再次被激活,如此循环往复。 3什么是库、程序包、子程序、过程调用和函数调用? ●库和程序包用来描述和保存元件、类型说明和子程序等,以便在其它设计中通过其目录可查询、 调用。子程序由过程和函数组成。在子程序调用过程中,过程能返回多个变量,函数只能返回一个变量。若子程序调用的是一个过程,就称为过程调用,若子程序调用的是一个函数,则称为函数调用。过程调用、函数调用都是子程序调用。 二.改错题 1.已知sel为STD_LOGIC_VECTOR(1 DOWNTO 0)类型的信号,而a、b、c、d、q均为STD_LOGIC类型的 信号,请判断下面给出的CASE语句程序片段:

●CASE sel IS ●WHEN“00”=>q<=a; ●WHEN“01”=>q<=b; ●WHEN“10”=>q<=c; ●WHEN“11”=>q<=d; ●END CASE; ●答案:CASE语句缺“WHEN OTHERS”语句。 2.已知data_in1, data_in2为STD_LOGIC_VECTOR(15 DOWNTO 0) 类型的输入端口,data_out为STD_LOGIC_VECTOR(15 DOWNTO 0)类型的输出端口,add_sub为STD_LOGIC类型的输入端口,请判断下面给出的程序片段: ●LIBRARY IEEE; ●USE IEEE.STD_LOGIC_1164.ALL; ●ENTITY add IS ● PORT(data_in1, data_in2:IN INTEGER; ● data_out:OUT INTEGER); ●END add; ●ARCHTECTURE add_arch OF add IS ●CONSTANT a:INTEGER<=2; ●BEGIN ●data_out<=( data_in1+ data_in2) * a; ●END addsub_arch; 答案:常量声明时赋初值的“<=”符号应改用“:=”符号。 3.已知Q为STD_LOGIC类型的输出端口,请判断下面的程序片段: ●ARCHITECTURE test_arch OF test IS ●BEGIN ●SIGNAL B:STD_LOGIC; ●Q<= B; END test_arch 答案:信号SIGNAL的声明语句应该放在BEGIN语句之前。 4.已知A和Q均为BIT类型的信号,请判断下面的程序片段: ●ARCHITECTURE archtest OF test IS ●BEGIN ●CASE A IS ●WHEN ‘0’=>Q<=‘1’; ●WHEN ‘1’=>Q<=‘0’; ●END CASE; ●END archtest; 答案:CASE语句应该存在于进程PROCESS内。 三.程序设计 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;

(完整)EDA试题及答案,推荐文档

2013年电子系统设计考试试题--考试时间21号56节--公共409 一、填空题 1. Verilog的基本设计单元是模块。它是由两部分组成,一部分描述接口;另一部分描述逻辑功能,即定义输入是如何影响输出的。 2. 用assign描述的语句我们一般称之为组合逻辑,并且它们是属于并行语句,即于语句的书写次序无关。而用always描述的语句我们一般称之为组合逻辑或时序逻辑,并且它们是属于串行语句,即于语句的书写有关。 3.在case语句中至少要有一条default语句. 4. 已知x=4’b1001,y=4’0110,则x的4位补码为4’b1111,而y的4位的补码为4’b0110 . 5. 两个进程之间是并行语句。而在Always中的语句则是顺序语句。 二、简答题 1. 怎样理解在进程语句中,阻塞语句没有延迟这句话? 答:这是因为在进程语句中,有阻塞语句和非阻塞语句这两种,非阻塞语句是有延迟的,而阻塞语句也是有延迟的,这是因为因果系统都有延迟,只是阻塞语句的延迟比非阻塞语句的延迟小于若干个数量级,因此可视为没有延迟。 2.在进程中什么情况下综合为时序电路?什么情况下综合为组合电路? 答:在进程中,只有当敏感信号是边沿触发(即上升沿或下降沿)时,此时综合为时序电路;而在进程中只有当敏感信号是电平沿触发时,此时综合为组合电路。 3.为什么在Verilog语言中,其综合只支持次数确定的循环,而不支持次数不确定的循环? 答:这是因为,在Verilog语言中,它是为电路设计而设计的一门语言,它与高级语言不同,若循环的次数不确定,则会带来不确定的延迟,而这在电路中是不允许存在的,故综合只能支持次数确定的循环,即对于一个具体的芯片,其延迟只是一个定值。 4.Verilog HDL语言进行电路设计方法有哪几种? 答:①自上而下的设计方法(Top-down);②自下而上的设计方法(Bottom-Up) ③综合设计的方法。 5.specparam语句和parameter语句在参数说明方面不同之处是什么? 答:1.specparam语句只能在延时的格式说明块(specify)中出现,而parameter语句则不能再延时说明块内出现。 2.由specparam语句进行定义的参数只能是延时参数,而由parameter语句定义的参数可以是任何数据类型的参数。 3.由specparam语句定义的延时参数只能在延时说明块内使用,而由parameter语句定义的参数则可以在模块内(该parameter语句之后)的任何位置说明。 三、选择题: 1、下列标示符哪些是合法的(B) A、$time B、_date C、8sum D、mux# 2、如果线网类型变量说明后未赋值,起缺省值是(D) A、x B、1 C、0 D、z 3、现网中的值被解释为无符号数。在连续赋值语句中,assign addr[3:0]=-3;addr被赋予的值是(A) A、4’b1101 B、4’b0011 C、4’bxx11 D、4’bzz11 4、reg[7:0] mema[255:0]正确的赋值是(A) A、mema[5]=3’ d0, B、8’ d0; C、1’ b1; D、mema[5][3:0]=4’ d1 5、在code模块中参数定义如下,请问top模块中d1模块delay1、delay2的值是( D) module code(x,y); module top; paramee delay1=1,delay2=1; …………….

EDA考试题目+答案

简答: 1.VHDL中变量与信号的主要区别 一、变量是一个局部量,只能在进程和子程序,无延时,立即发生,主要作用是在进程中作为临时的数据存储单元。 从VHDL语句功能和行为仿真来看,信号与变量的差异主要表现在接受信息的方式和信息保持与传递的区域大小上。 (1)如:信号可以设置传输延迟量,而变量则不能; (2)如:信号可作为模块间的信息载体,如在结构体中个进程间传递信息;变量只能作为局部的信息载体,如只能在所定义的进程中有效。 (3) 变量的设置有时只是一种过渡,最后的信息传输和界面间的通信都是靠信号来完成综合后的信号将对应更多的硬件结构。 2.ASIC、FPGA、EDA、ISP的含义 ASIC:专用集成电路FPGA:可编程逻辑器件EDA:电子设计自动化ISP:因特网服务提供商 3.常用的库的名称(IEEE STD WORK VITAL) 5.进程语句的特点 (1)进程与进程,或其它并行语句之间的并行性,体现硬件电路并行运行特征。 (2)进程内部的顺序语句具有顺序与并行双重性。顺序行为体现硬件的逻辑功能,并行行为体现硬件特征。 进程内部使用顺序语句,对一个系统进行算法、行为和逻辑功能进行描述,可以具有高抽象性的特点,可以与具体的硬件没有关联。 这种顺序仅是指语句执行上的顺序(针对于HDL的行为仿真),并不意味着PROCESS语句在综合后所对应的硬件逻辑行为也同样具有顺序性。

VHDL程序无法进行诸如软件语言那样的“单步”调试,因为整个程序是一个整体,不能割裂每一句,只能通过仿真波形来了解程序的问题。 (3)进程有启动与挂起两种状态。 (4)进程与进程,或其它并行语句之间通过信号交流。 (5)时序电路必须由进程中的顺序语句描述,而此顺序语句必须由不完整的条件语句构成。推荐在一个进程中只描述针对同一时钟的同步时序逻辑,而异步时序逻辑或多时钟逻辑必须由多个进程来表达。 6.实体定义时端口方向OUT与BUFFER有何不同? OUT:输出端口。定义的通道为单向输出(写)模式,即通过此端口只能将实体内的数据流向外部。 BUFFER:缓冲端口。其功能与INOUT类似,区别在于当需要输入数据时,只允许内部回读输出的信号,即允许反馈。 如:在计数器的设计中,将计数器输出的计数信号回读,作为下一次计数的初值。 与OUT模式相比,BUFFER回读信号不是由外部输入的,而是由内部产生、向外输出信号。 即OUT结构体内部不能再使用,BUFFER结构体内部可再使用。

《EDA》试题B答案

2007 至2008学年度第二学期期末考核 《EDA》试题(开卷) 卷号:B 时间:120 分钟 2008 年6 月 专业:电子信息工程学号:姓名: 一填空题(20分) 1、VHDL 2、DEVICE.LIB SYMBOLS.LIB 3、实际零件焊接到电路板时所指示的外观和焊点的位置 4、电子设计自动化电子CAD技术 5、A L T E R A,X I L I N X 6、WAIT 7、电路连接 8、SRAM-BASE 9、2.54mm 300mil 10、元件外观和元件引线端子的图形 二名词解释(20分) 1 PLD/FPGA PLD是可编程逻辑器件(Programable Logic Device)的简称,FPGA是现场可编程门阵列(Field Programable Gate Array)的简称,两者的功能基本相同,只是实现原理略有不同,所以我们有时可以忽略这两者的区别,统称为可编程逻辑器件或PLD/FPGA。 2.过孔 当需要连接两个层面上的铜膜走线时就需要过孔(Via),过孔 又称为贯孔、沉铜孔和金属化孔。 过孔分为穿透式(Through)、半隐藏式(Blind)和隐藏式(Buried) 3.铜膜线 就是连接两个焊盘的导线,称为Track,一般铜膜线走线在不 同层面取不同的走向,例如顶层走水平线,则底层走垂直线。顶 层和底层走线之间的连接采用过孔(Via)连接。 4 PROM、PAL和PLA PROM:与阵列固定,或阵列可编程,一般用作存储器,其输入为存储器的地址,输出为存储器单元的内容。但输入的数目太大时,器件功耗增加,其局限性大。 PLA:与或阵列均可编程,但是其慢速特性和相对PAL、PROM而高得多的价格妨碍了它被广泛使用。PAL:或阵列固定,与阵列可编程,其第二代产品GAL具有了可电擦写、可重复编程、可设置加密的功能。 5 自顶向下的/自下而上的设计方法 自下而上的设计方法,使用该方法进行硬件设计是从选择具体元器件开始,并用这些元器件进行逻辑电路设计,从而完成系统的硬件设计,然后再将各功能模块连接起来,完成整个系统的硬件设计,自顶向下的设计方法就是从系统的总体要求出发,自顶向下分三个层次对系统硬件进行设计。 第一个层次是行为描述第二个层次是数据流描述第三个层次为逻辑综合

EDA(FPGA)期末考试试题

这是长期总结的EDA期末考试试题 试题一 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。 (2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。 (3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。 (4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12 答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 2-1 叙述EDA的FPGA/CPLD设计流程。 P13~16 答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。 2-2 IP是什么?IP与EDA技术的关系是什么? P24~26 IP是什么? 答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。 IP与EDA技术的关系是什么? 答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。 3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL是怎样实现可编程组合电路与时序电路的。 P34~36 答:OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。 说明GAL是怎样实现可编程组合电路与时序电路的? 答:GAL(通用阵列逻辑器件)是通过对其中的OLMC(输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。 3-2 什么是基于乘积项的可编程逻辑结构? P33~34,40 答:GAL、CPLD之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的PAL(可编程阵列逻辑)器件构成。 3-3 什么是基于查找表的可编程逻辑结构? P40~41 答:FPGA(现场可编程门阵列)是基于查找表的可编程逻辑结构。 3-7 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件? MAX II系列又属于什么类型的PLD器件?为什么? P54~56 答:APEX(Advanced Logic Element Matrix)系列属于FPGA类型PLD器件;编程信息存于SRAM中。MAX II系列属于CPLD类型的PLD器件;编程信息存于EEPROM中。 4-3. 图3-31所示的是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s='0'和'1'时,分别有y<='a'和y<='b'。试在一个结构体中用两个进程来表达此电路,每个进程中用CASE语句描述一个2选1多路选择器MUX21A。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX221 IS PORT(a1,a2,a3:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入信号 s0,s1:IN STD_LOGIC; outy:OUT STD_LOGIC);--输出端 END ENTITY; ARCHITECTURE ONE OF MUX221 IS SIGNAL tmp : STD_LOGIC; BEGIN

EDA考试题

1.原理图元件库编辑器界面主要由元件管理器、主工具栏、菜单、常用工具栏、编辑区组成。编辑区内有一个(十字坐标轴),用户一般在(第四)象限进行元件的编辑工作。2.软件环境要求运行在Windows 98/2000/NT或者(更高版本)操作系统下。硬件环境要求P166CPU/RAM32MB/HD剩余400MB以上,显示分辨率为(1024×768)。 3.ProtelDXP主要由原理图设计模块(Schematic模块),印制电路板设计模块(PCB设计模块),(电路信号仿真)模块和(PLD逻辑器设计)模块组成。 4.元件封装是指实际元件焊接到电路板时所指示的(外观)和焊接位置。元件的封装可以在设计电路原理图时指定,也可以在(引进网络表)时指定。 5.原理图设计窗口顶部为主菜单和主工具栏,左部为设计管理器,右边大部分区域为(编辑区),底部为状态栏和命令栏,中间几个浮动窗口为常用工具。除(主菜单)外,上述各部件均可根据需要打开或关闭。 6.图纸方向:设置图纸是纵向和横向。通常情况下,在(绘图及显示)时设为横向,在(打印)时设为纵向。。 7.原理图设计工具包括画总线、画总线进出点、(放置元件)、放置节点、放置电源、(画导线)、放置网络名称、放置输入/输出点、放置电路方框图、放置电路方框进出点等内容。8.网络表的内容主要是电路图中各(元件)的数据以及元件间(网络连接)的数据。网络表非常重要,在PCB制版图的设计中是必须的。 9.通过原理图元件库编辑器的制作工具来(绘制)和(修改)一个元件图形。 10.自动布线就是用计算机自动连接电路导线。自动布线前按照某些要求预置(布线设计)规则,设置完布线规则后,程序将依据这些规则进行自动布线。自动布线(效率高),速度快。 11.元件列表主要用于中整理一个电路或一个项目文件中的所有文件,它主要包括元件的名称、(标注)、(封装)等内容。 12.实体放置与编辑包括导线、(总线)、(元件)、网络标号、电源与地线、节点、文字与图形的放置与编辑。 13.文件管理,Protel DXP的各菜单主要是进行各种文件命令操作,设置视图的显示方式以及编辑操作。系统包括File,Edit, (View), (Windows)和Help共5个下拉菜单。14.构成PCB图的基本元素有:元件封装、(铜膜导线)、(助焊膜)和阻焊膜、层、焊盘和过孔、丝印层及文字标记。 15.ProtelDXP有32个信号层,即顶层、底层和30个中间层,可得到(16)个内部版层和16个机械板层。在实际的设计过程中,几乎不可能打开所有的工作层,这就需要(用户设置)工作层,将自己需要的工作层打开。 16.工作层的类型包括信号板层(Signal Layers)、内部板层(Internal Planc)、机械板层(Mechanical Layers)、(助焊膜及阻焊膜)、(丝印层)、其它工作层(Other)。17.工作层参数设置包括(栅格)设置和(电气栅格)设置。电气栅格设置主要用于设置电气栅格的属性。 18.元件封装的图形及属性信息都存储在一些特定的(元件封装)文件中。如果没有这个文件库,系统就不能识别用户设置的关于元件封装的信息,所以在绘制印制电路板之前(装入)所用到的元件。 19.网格设置。ProtelDXP提供了(线状网络)和(点状网络)两种不同的网状的网格。20.PCB绘图工具可以绘制导线、放置焊盘、过孔、字符串、位置坐标、(尺寸标注)、(设置相对原点)、放置房间定义,绘制圆弧或圆,放置切分多边形等。 21.手工布线就是用手工连接电路导线。在布线过程中可以切换导线模式、切换导线方向、设置光标移动的最小间隔。对导线还可以进行剪切、复制与粘贴、(删除)及属性修改等

(完整版)EDA技术考试试题B及详细答案

《EDA技术》第二学期期末试题B 卷号:B 时间:120 分钟2008 年6 月 专业:电子信息工程学号:姓名: 一、填空题(20分,每题2分) 当前ASIC制造商都自己开发了HDL语言,但是都不通用,只有美国国防部开发的()语言成为了IEEE. STD_1076标准,并在全世界得到了承认。 载入protel的Schematic中的()和()可满足一般用户需求,两个零件库中含有二极管、三极管、电阻、电容、电感等常用元件。 零件封装是指()。 EDA技术也称(),是在( )技术的基础上发展起来的计算机软件系统。 目前世界上有十几家生产CPLD/FPGA的公司,最大的三家是:(),( ),LATTIC 。 顺序描述语句中,()在MAX-PLUS中不被支持。 VHDL语句中能被赋予一定值的对象称为客体,主要有常数,信号和变量。其中常数对应代表数字电路中的电源和接地等。信号对应物理设计中的()。 FPGA可分为两大类,分别是SRAM-BASE和Anti-Fuse 设计时一般选用()。100mil=()mm,7.62mm=( )mil。 PCB封装元件实际上就是()。 二、名词解释题(20分,每题4分) 1 PLD/FPGA 2.过孔 3.铜膜线 4 PROM、PAL和PLA 5 自顶向下的/自下而上的设计方法 三、选择题(15分,每题3分) 1.下列常用热键具有在元件浮动状态时,编辑元件属性功能的是() PgUp Tab Space bar Esc 2.Design/Options菜单中下列选项不属于开关选项的是:() A.Snap Grid B.Hidden Pins C.Electrical Grid D.Title block 3.下列不属于VHDL基本程序结构是() A..CONFIGURATION定义区 B..ARCHITECTURE定义区 C.USE定义区 D.ENTITY定义区 4.下列关于VHDL中信号说法不正确的是:()

EDA试题库

EDA试题库建设 [70%基础题,20%中档题,10%提高题(试题容量:20套试卷,其中每套试题填空题10空(每空2分),选择题10题(每题2分)),简答题4题(每题5分),分析题2题(每题10分),设计题2题(每题10分)。] 基础题部分 填空题(140空) 1.一般把EDA技术的发展分为(CAD)、(CAE)和(EDA)三个阶段。 2.EDA设计流程包括(设计准备)、(设计输入)、(设计处理)和(器件编程)四个步骤。 3.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为(功能仿真)。4.VHDL的数据对象包括(变量)、(常量)和(信号),它们是用来存放各种类型数据的容器。 5.图形文件设计结束后一定要通过(仿真),检查设计文件是否正确。 6.以EDA方式设计实现的电路设计文件,最终可以编程下载到(FPGA)或者(CPLD)芯片中,完成硬件设计和验证。7.MAX+PLUS的文本文件类型是(.VHD)。 8.在PC上利用VHDL进行项目设计,不允许在(根目录)下进行,必须在根目录为设计建立一个工程目录。9.VHDL源程序的文件名应与(实体名)相同,否则无法通过编译。 10.常用EDA 的设计输入方式包括(文本输入方式)、(图形输入方式)、(波形输入方式)。 11.在VHDL 程序中,(实体)和(结构体)是两个必须的基本部分。 12.将硬件描述语言转化为硬件电路的重要工具软件称为(HDL 综合器)。 13、VHDL 的数据对象分为(常量)、(变量)和(信号)3 类。 14、VHDL 的操作符包括(算术运算符)和(符号运算符)。 15、常用硬件描述语言有(Verilog HDL)、(AHDL)以及(VHDL)。 16、VHDL基本语句有(顺序语句)、(并行语句)和属性自定义语句。 17、VHDL 同或逻辑操作符是(XNOR)。 18、原理图文件类型后缀名是(.GDF),Verilog HDL语言文本文件类型的后缀名是(.V )。 19、十六进制数16#E#E1对应的十进制数值是(224)。 20、一个完整的VHDL程序应包含三个基本部分,即库文件说明、(程序包应用说明)和(实体和结构体说明)。 21、VHDL 不等于关系运算符是(/= )。 22、STD_LOGIC_1164程序包是(IEEE )库中最常用的程序包。 23.文本输入是指采用(硬件描述语言)进行电路设计的方式。 24.当前最流行的并成为IEEE标准的硬件描述语言包括(vhdl)和(verilog)。 25.采用PLD进行的数字系统设计,是基于(芯片)的设计或称之为(自底向上)的设计。26.硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为(自顶向下)的设计法。 27.EDA工具大致可以分为(设计输入编辑器)、(仿真器)、(hdl综合器)、(适配器)以及(下载器)等5个模块。 28.将硬件描述语言转化为硬件电路的重要工具软件称为(综合器)。 29.用MAX+plusII输入法设计的文件不能直接保存在(根目录)上,因此设计者在进入设计之前,应当在计算机中建立保存设计文件的(工程)。 30.若在MAX+plusII集成环境下,执行原理图输入设计方法,应选择(block diagram/Schematic)命令方式。

EDA期末考试试卷及答案

一、单项选择题(30分,每题2分) 1.以下关于适配描述错误的是 B A.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件 B.适配所选定的目标器件可以不属于原综合器指定的目标器件系列 C.适配完成后可以利用适配所产生的仿真文件作精确的时序仿真D.通常,EDAL软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供 2.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A.器件外部特性B.器件的综合约束 C.器件外部特性与内部功能D.器件的内部功能3.下列标识符中, B 是不合法的标识符。 A.State0 B.9moon C.Not_Ack_0 D.signall 4.以下工具中属于FPGA/CPLD集成化开发工具的是 D A.ModelSim B.Synplify Pro C.MA TLAB D.QuartusII 5.进程中的变量赋值语句,其变量更新是 A 。 A.立即完成B.按顺序完成 C.在进程的最后完成D.都不对 6.以下关于CASE语句描述中错误的是 A A.CASE语句执行中可以不必选中所列条件名的一条 B.除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN OTHERS=><顺序语句>” C.CASE语句中的选择值只能出现一次 D.WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围 7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A.STD_LOGIC_ARITH B.STD_LOGIC_1164 C.STD_LOGIC_UNSIGNED D.STD_LOGIC_SIGNED 8.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→ A →综合→适配→时序仿真→编程下载→硬件测试。 A.功能仿真B.逻辑综合C.配置D.引脚锁定 9.不完整的IF语句,其综合结果可实现 D A.三态控制电路B.条件相或的逻辑电路 C.双向控制电路D.时序逻辑电路 10.下列语句中,属于并行语句的是A A.进程语句B.IF语句C.CASE语句D.FOR 语句 11.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中, C 是错误的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD 的基本结构相映射的网表文件 B.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的 C.综合是纯软件的转换过程,与器件硬件结构无关 D.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 12.CPLD的可编程是主要基于什么结构 D 。 A.查找表(LUT)B.ROM可编程

EDA考试试卷

1.给出下述Verilog语句的仿真输出结果(本题5分,每个1分)。 (1)$displayb ( 4'b1010 < 4'b0110 );输出为: 0 (2)$displayb ( 4'b1x10 = = 4'b1x10 ); 输出为: x (3)$displayb ( ^4'b1110 ); 输出为: 1 (4)$displayb ( {3{2’b10}} ) ; 输出为: 101010 (5)//假设reg [3:0] a; a=4'b1010; $displayb ( {{4{a[3]}},a} ); 输出为: 11111010 2.假设design为Lab1.v,顶层模块名为Lab1;TestBench为Lab1_TB.v, 顶层模块名为Lab1_TB, 按下述步骤要求,采用modelsim命令行方式时的完整 仿真命令序列为:(本题10分,每个2分) 创建物理库mywork: vlib mywork 映射逻辑库work至物理库mywork: vmap work mywork 编译design至work库: vlog Lab1.v 编译testbench至work库: vlog Lab1_TB.v 启动仿真工具仿真: vsim Lab1_TB 二.简答题(共45分) 1.简述下述Verilog语句的含义(所有信号均为1bit位宽;有时序信息时 请同时说明时序含义,若需要用式子表达,可用X(t)表达“t时刻时X的值”; 可均从0时刻描述)(本题10分,每个2分) (1) assign #10 out = in1 & in2 ; 先延迟10个时间单位,再计算in1&in2的值,并赋给out。 (2) assign out = # 5 in1 | in2 ; 先计算in1&in2的值,延迟5个时间单位后,赋给out。 (3) or #(1,2,3) or_inst(o,in1,in2); 当输出为上升沿时延迟一个时间单位,输出为下降沿时延迟两个时间单位,输出为高阻态时延迟三个时间单位。

EDA-(VHDL版)考试试卷汇总

一、单项选择题:(20分) 1.IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为D 。 A .瘦IP B.固IP C.胖IP D.都不是 2.综合是EDA设计流程的关键步骤,在下面对综合的描述中,_________是错误的。D A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程; B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件; C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束; D.综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。 3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是__C__。 A. FPGA全称为复杂可编程逻辑器件; B. FPGA是基于乘积项结构的可编程逻辑器件; C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。 4.进程中的信号赋值语句,其信号更新是___C____。 A. 按顺序完成; B.比变量更快完成; C.在进程的最后完成; 5.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述B A.器件外部特性; B. 器件的内部功能; C.器件的综合约束; D.器件外部特性与内部功能。 6.不完整的IF语句,其综合结果可实现A A. 时序逻辑电路 B.组合逻辑电 C. 双向电路 D. 三态控制电路 7.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化B ①流水线设计②资源共享③逻辑优化④串行化 ⑤寄存器配平⑥关键路径法 A. ①③⑤ B. ②③④ C. ②⑤⑥ D. ①④⑥ 8.下列标识符中,__________是不合法的标识符。B A. State0 B. 9moon C. Not_Ack_0 D. signall 9.关于VHDL中的数字,请找出以下数字中最大的一个: A A. 2#1111_1110# B.8#276# C.10#170# D. 16#E#E1 10.下列EDA软件中,哪一个不具有逻辑综合功能:B A.Max+Plus II B.ModelSim C.QuartusII D. Synplify 11.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C ) A.仿真器 B.综合器 C.适配器 D.下载 12.在执行MAX+PLUSⅡ的(D)命令,可以精确分析设计电路输入与输出波形间的延时量。 A .Create default symbol B. Simulator C. Compiler D.Timing Analyzer 13.VHDL常用的库是(A ) A. IEEE B.STD C. WORK D. PACKAGE 14.下面既是并行语句又是串行语句的是( C )

EDA期末复习试卷

一、填空题(10分,每小题1分) 1. 用EDA技术进行电子系统设计的目标是最终完成ASIC 的设计与实现。 2. 可编程器件分为FPGA 和CPLD 。 3.随着EDA技术的不断完善与成熟,自顶向下的设计方法更多的被应用于Verilog HDL设计当中。 4.目前国际上较大的PLD器件制造公司有Altera 和Xilinx 公司。 5.完整的条件语句将产生组合电路,不完整的条件语句将产生时序电路。 6.阻塞性赋值符号为= ,非阻塞性赋值符号为<= 。 二、选择题(10分,每小题2分) 1.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是 C 。 A.FPGA全称为复杂可编程逻辑器件;

B.FPGA是基于乘积项结构的可编程逻辑器件; C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;

D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。 2.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→综合→__ __→→适配→编程下载→硬件测试。正确的是 B 。 ①功能仿真②时序仿真③逻辑综合④配置⑤分配管脚 A.③① B.①⑤ C.④⑤ D.④② 3.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化 B 。 ①流水线设计②资源共享③逻辑优化④串行化⑤寄存器配平⑥关键路径法 A.①③⑤ B.②③④C.②⑤⑥ D.①④⑥ 4. 下列标识符中,____A______是不合法的标识符。 A.9moon B.State0 C.Not_Ack_0 D.signall

EDA考试题目+标准答案

EDA考试题目+答案

————————————————————————————————作者:————————————————————————————————日期:

简答: 1.VHDL中变量与信号的主要区别 一、变量是一个局部量,只能在进程和子程序,无延时,立即发生,主要作用是在进程中作为临时的数据存储单元。 二、信号是一个全局量,有延时,进程只对信号敏感,不对变量敏感 比较对象信号SIGNAL 变量V ARIABLE 基本用法用于作为电路中的信号连线用于作为进程中局部数据存储单元与Verilog对比信号赋值类似于非阻塞式赋值变量赋值类似于阻塞式赋值 适用范围在整个结构体内的任何地方都适用只能在所定义的进程中使用 行为特性在进程最后才对信号赋值,有延时立即赋值,无延时 从VHDL语句功能和行为仿真来看,信号与变量的差异主要表现在接受信息的方式和信息保持与传递的区域大小上。 (1)如:信号可以设置传输延迟量,而变量则不能; (2)如:信号可作为模块间的信息载体,如在结构体中个进程间传递信息;变量只能作为局部的信息载体,如只能在所定义的进程中有效。 (3) 变量的设置有时只是一种过渡,最后的信息传输和界面间的通信都是靠信号来完成综合后的信号将对应更多的硬件结构。 2.ASIC、FPGA、EDA、ISP的含义 ASIC:专用集成电路FPGA:可编程逻辑器件EDA:电子设计自动化ISP:因特网服务提供商 3.常用的库的名称(IEEE STD WORK VITAL) 5.进程语句的特点 (1)进程与进程,或其它并行语句之间的并行性,体现硬件电路并行运行特征。 (2)进程内部的顺序语句具有顺序与并行双重性。顺序行为体现硬件的逻辑功能,并行行为体现硬件特征。 进程内部使用顺序语句,对一个系统进行算法、行为和逻辑功能进行描述,可以具有高抽象性的特点,可以与具体的硬件没有关联。 这种顺序仅是指语句执行上的顺序(针对于HDL的行为仿真),并不意味着PROCESS语句在综合后所对应的硬件逻辑行为也同样具有顺序性。 VHDL程序无法进行诸如软件语言那样的“单步”调试,因为整个程序是一个整体,不能割裂每一句,只能通过仿真波形来了解程序的问题。 (3)进程有启动与挂起两种状态。 (4)进程与进程,或其它并行语句之间通过信号交流。 (5)时序电路必须由进程中的顺序语句描述,而此顺序语句必须由不完整的条件语句构成。推荐在一个进程中只描述针对同一时钟的同步时序逻辑,而异步时序逻辑或多时钟逻辑必须由多个进程来表达。 6.实体定义时端口方向OUT与BUFFER有何不同? OUT:输出端口。定义的通道为单向输出(写)模式,即通过此端口只能将实体内的数据流向外部。 BUFFER:缓冲端口。其功能与INOUT类似,区别在于当需要输入数据时,只允许内部回读输出的信号,即允许反馈。 如:在计数器的设计中,将计数器输出的计数信号回读,作为下一次计数的初值。 与OUT模式相比,BUFFER回读信号不是由外部输入的,而是由内部产生、向外输出信号。即OUT结构体内部不能再使用,BUFFER结构体内部可再使用。

相关文档