文档库 最新最全的文档下载
当前位置:文档库 › 哈工大数电课程设计设

哈工大数电课程设计设

哈工大数电课程设计设
哈工大数电课程设计设

H a r b i n I n s t i t u t e o f T e c h n o l o g y

课程设计说明书(论文)

课程名称:电子技术课程设计

设计题目:基于EEPROM的可编程波形发生器

院系:电气工程及其自动化

班级:1206161

设计者:张旭

学号:1120610831

指导教师:康磊

设计时间:2014年12月4日

哈尔滨工业大学

哈尔滨工业大学课程设计任务书

基于EEPROM的可编程波形发生器

摘要:

在计算机控制、电子测量、通讯与电视等系统中,常常要用到各种模拟连续波形,如锯齿波、三角波、正弦波等。

本系统设计以555定时器构成多谐振荡器,产生脉冲;用FPGA构建256进制计数器和ROM,ROM存有产生波形的数据用于产生方波、三角波、锯齿波和正弦波四种波形;输出波形周期T=1s,输出波形的偏移量在-2.3V至+1.7V范围内可调输出波形的峰峰值在10V左右;四种波形可选择输出,分别输出锯齿波、三角波、方波和正弦波。

本系统使用BASYS2开发板,开发板上提供了按键和数码管,并且按键已经经过电容消抖,并用FPGA构建一个4进制计数器。将上述两部分结合可以构成波形选择电路。LM324运放电路采用电位器调节,可消除波形失真,并实现波形偏移量可调。

关键词:EEPROM;FPGA;BASYS2;波形发生器

1选择原因

1.1 555时钟电路

555定时器是一种用途广泛的模拟数字混合集成电路。它可以构成单稳态触发器、多谐振荡器、压控振荡器等多种应用电路。从555定时器的功能表可以看出几个特点:

1. 有两个阈值电平,分别是电源电压的1/3和2/3;

2. 输出从低到高,从高到低有回差;

3. 输出端和放电端的状态一致,要通都通,要断都断;

4. 输出与两触发端是反相关系。

555定时器构成多谐振荡器

555定时器构成的多谐振荡器如图所示。它是将两个触发端合并在一起,放电端接于两电阻之间。利用电容器的充放电来代替外加触发信号,所以,电容器上的电压信号应

该在两个阈值之间按指数规律转换。充电回路是R

A 、R

B

和C,此时相当输入是低电平,输

出是高电平。当电容器充电达到2Vcc/3时,即输入达到高电平时,电路的状态发生翻转,输出为低电平,电容器开始放电。当电容器放电达到Vcc/3时,电路的状态又开始翻转, 如此不断循环。电容器之所以能够放电,是由于有放电端7脚的作用,因7脚的状态与输出端一致,7脚为低电平电容器即放电。

1.2 D/A转换器件

自然界中存在的物理量大都是模拟量,如温度、时间、角度、速度等。随着数字技术的迅速发展,尤其是计算机的广泛应用,用数字电路处理模拟信号的情况非常普遍。D/A 转换器是利用电阻网络和模拟开关,将二进制数D转换为与之成比例的模拟量。

常用的解码网络有权电阻解码网络和倒T形电阻解码网络。

权电阻解码网络

D/A转换器是由电阻解码网络、电子开关和运算放大器组成。Sn-1~S0是n个电子开关,受输入代码d n-1~d 0控制,当该位的值为“1”时,开关将电阻接至参考电压源VREF;当该位为“0”时,开关将电阻接地。 D/A转换器是利用电阻网络和模拟开关,将二进制数D转换为与之成比例的模拟量。电阻解码网络的优点是所用的电阻数少,缺点是阻值分散,集成电路制作困难。

集成D/A转换器DAC0832

DAC0832的典型应用

其引脚功能为:

直通工作方式:WR1、WR2、XFER及CS接低电平,ILE接高电平。即不用写信号控制,外输入数据直通内部8位D/A转换器的数据输入端。

单缓冲工作方式:WR2、XFER接低电平,使8位DAC寄存器处于直通状态,输入数据经8位输入寄存器缓冲控制后直接进入D/A转换器。

双缓冲工作方式:两个寄存器均处于受控状态,输入数据要经过两个寄存器缓冲控制后才进入D/A转换器。这种工作方式可以用来实现多片D/A转换器的同步输出。

转换精度:分辨率—当输入数字量的最低位(LSB)发生变化引起的输出电压的变化量。常用输入数字量的位数表示分辨率

转换误差—转换器实际能达到的转换精度。转换误差用LSB的倍数表示。转换误差分静态误差和动态误差。

转换速度:建立时间tset —它是在输入数字量各位由全0变为全1,或由全1变为全0,输出电压达到某一规定值所需要的时间

转换速率SR —即输入数字量的各位由全0变为全1,或由全1变为0时,输出电压uo 的变化率。这个参数与运算放大器的压摆率类似。

1.3 BASYS2开发板

波形发生电路的主要部分选择由FPGA构建,因为FPGA是一种新型的高密度PLD,采用CMOS-SRAM工艺制作,其内部由许多独立的可编程逻辑模块组成,逻辑块之间可以灵活的相互连接。因此使用FPGA可以很大程度的减少外部连线,加强电路的可靠性。

本次设计所使用的BASYS2开发板一个电路设计实现平台,任何人都可以通过它来搭建一个真正的数字电路。Basys2是围绕着一个Xilinx Spartan-3E FPGA芯片和一个Atmel AT90USB USB控制器搭建的,它提供了完整、随时可以使用的硬件平台,并且它

适合于从基本逻辑器件到复杂控制器件的各种主机电路。Basys2板上集成了大量的I/O

设备和FPGA所需的支持电路,让您能够构建无数的设计而不需要其他器件。

开发板参数:

● Xilinx Spartan 3E FPGA,10万或25万门

● FPGA特性18位乘法器,72位高速双端口Block RAM,以及500MHz+运算能力

● USB2.0高速接口提供FPGA配置和数据传输(相关Adept2.0软件可以免费下载)

● Xilinx Platform Flash ROM可以无限次存储FPGA配置

● 用户可配置晶振(25,50,100MHz),另附可连接第二个时钟晶振的插座

● 三个内置稳压器(1.2V,2.5V,和3.3V),允许使用3.5V-5.5V的外部电源供电

● 8个用户可编程LED指示灯,4个七段数码管显示器,4个按键开关,8个滑动开关,1个PS/2接口和1个8位VGA显示接口

● 4个6针用户扩展接口,可以用来连接Digilent PMOD附属电路板

● 需要Adept2.0或更新版本软件来进行操作

Spartan 3E接线原理图:

1.4 系统总体框图

Spartan 3E

2.电路参数计算与FPGA程序

2.1 多谐振荡器参数计算

多谐振荡器由555定时器件构成,其原理图如下:

555定时器产生的时钟信号周期T=0.7*(Ra+2Rb)C。根据AT28C16中烧制的程序可知,该时钟周期T应为输出波形周期的1/128,即T=1/128(s)。选取电容C=200nF,电阻Rb=20K,电阻Ra=15K+Rc(Rc为5K变阻器)。C5为去耦电容,选取C5=10nF。

2.2输出运放电路参数计算

输出运放电路采用TL084芯片,其原理图如下:

由公式

参数选择合理,但是,当时,V,波形发生底部失真。要想消除失真,需在处串接变位器进行调节。

2.3 FPGA的VHDL程序

2.3.1顶层设计程序代码

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity CandR is

Port( rst:in std_logic;

key:in std_logic;

clk:in std_logic;

RomOut:out std_logic_vector(7 downto 0);

Duan:out std_logic_vector(3 downto 0);

Wei:out std_logic_vector(6 downto 0)); end CandR;

architecture Behavioral of CandR is

COMPONENT Twobai56

PORT(

clk2 : IN std_logic;

clr2 : IN std_logic;

clk2out : OUT std_logic_vector(7 downto 0)

);

END COMPONENT;

COMPONENT Choose

PORT(

Key : IN std_logic;

Duan : OUT std_logic_vector(3 downto 0);

Wei : OUT std_logic_vector(6 downto 0);

Addr : OUT std_logic_vector(1 downto 0)

);

END COMPONENT;

COMPONENT BXrom

PORT (

a : IN STD_LOGIC_VECTOR(9 DOWNTO 0);

spo : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );

END COMPONENT;

signal haddr:std_logic_vector(1 downto 0);

signal laddr:std_logic_vector(7 downto 0);

begin

Inst_Twobai56: Twobai56 PORT MAP(

clk2 =>clk ,

clr2 =>rst,

clk2out =>laddr

);

Inst_Choose: Choose PORT MAP(

Key => key,

Duan => Duan,

Wei => Wei,

Addr => haddr

);

Inst_rom : BXrom PORT MAP (

a(7 downto 0) => laddr,

a(9 downto 8) => haddr,

spo => Romout

);

end Behavioral;

顶层设计实现的电路图:

上图中Inst_Twobai56是256进制计数器,Inst_Choose是4进制计数器及显示译码电路,Inst_rom 是由FPGA构建的ROM。

2.3.2 256进制计数器程序代码

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

use IEEE.STD_LOGIC_arith.ALL;

entity Twobai56 is

Port( clk2:in std_logic;

clr2:in std_logic;

clk2out:out std_logic_vector(7 downto 0)

);

end Twobai56;

architecture EightJinzhi of Twobai56 is

signal temp:std_logic_vector(7 downto 0); begin

process(clk2,clr2)

begin

if(clr2='1') then

temp<="00000000";

else

if(clk2'event and clk2='1') then

if(temp="11111110")then

temp<="00000000";

else

temp<=temp+1;

end if;

end if;

end if;

end process;

clk2out<=temp(7 downto 0);

end EightJinzhi;

256进制计数器的实现电路:

2.3.3 按键及显示程序代码

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity Choose is

Port( Key:in std_logic;

Duan:Out std_logic_vector(3 downto 0);

Wei:Out std_logic_vector(6 downto 0);

Addr:Out std_logic_vector(1 downto 0)); end Choose;

architecture COS of Choose is

signal Q:std_logic_vector(1 downto 0);

begin

Duan<="0111";

process(key)

begin

if(key'event and key='1') then

Q<=Q+1;

end if;

end process;

Addr<=Q;

process(Q)

begin

case Q is

when "00" => Wei<="0000001";

when "01" => Wei<="1011110";

when "10" => Wei<="0010010";

when "11" => Wei<="0000110";

when others=>Wei<="0000000";

end case;

end process;

end COS;

按键及显示程序的实现电路:

2.3.4 ROM部分:

本次设计中使用使用的ROM通过调用ISE软件中的CORE,直接生成一个ROM。事先通过MATLAB取号每一种波形所对应的数据,并且写入一个.coe文件中,在生成ROM的时候直接导入即可。

调用CORE生成ROM的过程如下:

使用MTALAB生成每一种波形所需的数据的程序如下:

以三角波为例:

t=0:0.25/124:0.25

y=512*t+128

round(y)

plot(t,y)

t=0.25:0.5/249:0.75

y=-512*t+384

round(y)

plot(t,y)

t=0.75:0.25/124:1

y=512*t-384

round(y)

t=0:1/499:1

y=512*t

y=256*t

round(y)

3 系统测试及结果分析

3.1测试使用的仪器(如表1所示)

3.2 测试数据

本系统主要测试参数如下表2所示

4 实验结论 4.1结论

本系统功能齐全,能选择输出4路波形并显示波形代号,输出的波形周期和偏移量以及峰峰值均可调 4.2实验结果

正弦波:

三角波:

锯齿波:

方波:

4.3出现的问题及解决方法

1.输出正弦波时出现各个点离散,不连续的现象,有的时候会出现一部分波形消失使得波形整体缺失。经检查,原因有一下几点:

(1)将数据从MATLAB取点后写入TXT文档后,通过查找替换将数据与数据之间的空格转换成“,”的时候有一部分数据被遗漏掉。使得ROM存入的数据不完整。

(2) D/A转换器与FPGA输出口连接用的线接触不好,使得波形有的点不连续,甚至出现下陷的现象。

(3) D/A转换器所用的参考电压源不是太稳定。

解决方法:

(1)检查数据,更改数据间的格式后从新写入。

(2)替换D/A转换器与FPGA间的连线,使之接触良好。

5.心得体会

(1)通过本次的数字电子课程设计,对我来说,最大的收获是在短时间里,学习并初步掌握了FPGA的使用,进一步熟悉了Verilog编程语言。同时,也进一步的加深了对MATLAB操作的理解。

(2)本次课设,让我熟练掌握了555定时器,TL084,及其相关应用电路的设计与使用。加深了对硬件电路调试方法的掌握。

(3)此次的试验,一开始是通过在面包板上搭硬件电路的方式进行的,后来发现这样会有三个问题,第一由于需要使用的线太多,导致系统的可靠性降低。其次,领导的器件中有一部分器件损坏。第三,使用面包板搭电路容易受到干扰。为了提高工作效率,同时提高系统的可靠性,因此使用FPGA进行设计。通过本次实验,感受到集成电路的便利与可靠。

(4)这一次的课设,让我认识到了团队合作的重要性,感谢团队中的每一个成员。最后真诚感谢认真指导我们实验的康磊老师!

参考文献

[1] 胡振华. VHDL与FPGA设计[M]. 中国铁道出版社, 2003.

[2] 廉玉欣. 电子技术基础实验教程[M]. 机械工业出版社, 2010.

[3] 宁改媞金印彬刘涛. 数字电子技术与接口技术实验教程[M]. 西安电子科技大学出版社, 2013.

[4] 杨春玲王淑娟. 数字电子技术基础[M]. 高等教育出版社, 2011.

《综合课程设计》教学大纲(完整资料).doc

此文档下载后即可编辑 《综合课程设计》教学大纲 课程名称:综合课程设计 英文名称:Integrated Course Project for Communication Systems 总学时:3周,理论学时:实验学时:学分:3 先修课程要求: 电路分析、模拟电子技术、数字电子技术、高频电子线路、通信原理、FPGA原理与应用、Matlab与通信仿真技术、微机原理与接口技术、单片机技术及应用、计算机网络等 适用专业:通信工程 教学参考书: 樊昌信等编,《通信原理(第六版)》,国防工业出版社,2006年 马淑华等编,《单片机原理及应用》,北京航空航天大学出版社,第1版 褚振勇等编,《FPGA原理与应用》,西安电子科技大学出版社,第2版 谢希仁等编,《计算机网络》,电子工业出版社,第4版 1课程设计在培养方案中的地位、目的和任务 《综合课程设计》是配合本科通信工程专业的专业基础课程《通信原理》、《FPGA原理与应用》、《Matlab与通信仿真分析》、《单片机技术及应用》、《计算机网络》而开设的重要专业实践环节。目的是培养学生科学理论结合实际工程的能力,通

过该课程设计,要求学生在掌握通信基本理论的基础上,运用Matlab、FPGA、NS-2等工具对通信子系统或计算机网络进行仿真与设计,并计算基本性能指标,从而提高学生的综合设计实践能力。 另一方面,也可通过课程设计使学生深入理解单片机的基本原理,硬件结构和工作原理。掌握程序的编制方法和程序调试的方法,掌握常用接口的设计及使用。掌握一般接口的扩展方法及接口的调试过程。为学生将来在通信工程、电子信息工程、测试计量技术及仪器、电子科学与技术及其它领域应用单片机技术打下良好基础及应用实践能力。 2 课程设计的基本要求 1. 学习基本设计方法;加深对课堂知识的理解和应用。 2. 完成指定的设计任务和实验任务,理论联系实际,实现书本知识到工程实践的过渡。 3. 学会设计报告的撰写方法。 3 课程设计的内容 1. 无线收发信机部件设计 2. 数字调制与解调器的设计 3. 特殊信号产生器的设计 4. 同步信号提取 5. 编码译码器

声控灯地设计与制作-哈工大-电子技术课程设计

H a r b i n I n s t i t u t e o f T e c h n o l o g y 课程设计说明书(论文) 课程名称:电子技术课程设计 设计题目:声控开关的设计与制作 院系:电气工程及其自动化 班级:1406111 设计者:元胜 学号:1140610319 指导教师:吕超 设计时间:2016年12月5-18日 工业大学

工业大学课程设计任务书

*注:此任务书由课程设计指导教师填写。

声控灯的设计与制作 1设计任务及原理 设计任务基本要求:设计一个声控开关,控制对象为发光二极管,接收到一定强度的声音后,声控开关点亮发光二级管,灯亮时间可调。控制延时时间用数字显示。 扩展要求:发光二极管点亮时间延时显示。 1.1设计原理 声控灯是将声音信号转换为电信号、电信号再转换为光信号的装置。 输入部分可由一个驻极体话筒实现。话筒的高分子极化膜生产时就注入了一定的永久电荷。在声波的作用下,极化膜随着声音震动,电容是随声波变化。于是电容两极间的电压就会成反比的变化。将电容两端的电压取出来,就可以得到和声音对应的电压了。但是这个电压信号非常小,不能驱动LED灯。对这个电压信号进行放大、整形,才能得到足够大的电压。 声控灯的延时可以由一个单稳态触发电路实现。单稳态电路的暂态时间就是发光二极管的发光持续时间。用前面经放大的电压作为触发脉冲输送给单稳态触发电路,会得到一个持续特定时间的电压输出。这个输出来驱动发光二极管,就达到了声控、发光的目的。 计数器部分首先需要一个时钟源。时钟源脉冲可由多谐振荡器获得。将单稳态电路的输出与时基脉冲结合,控制计数器的计数与清零,就可以使计数部分与发光部分同步工作。 计数结果再经译码输送给共阳极数码管,显示出来。 2设计过程 2.1声控灯电路原理: 当驻极体话筒接受到一定强度的声音信号时,声音信号转换为电压信号,经三极管放大、施密特触发器整形后,触发单稳态延时电路,产生一个宽度可调的脉冲信号,驱动发光二极管发光。同时,该脉冲信号作为选通信号,使计数器计数,并用数码管显示延时时间。电路的流程图如图 1所示:

哈工大机械原理课程设计

Harbin Institute of Technology 机械原理课程设计说明书 课程名称:机械原理 设计题目:产品包装生产线(方案1) 院系:机电学院 班级: 设计者: 学号: 指导教师: 设计时间:

一、绪论 机械原理课程设计是在我们学习了机械原理之后的实践项目,通过老师和书本的传授,我们了解了机构的结构,掌握了机构的简化方式与运动规律,理论知识需要与实践相结合,这便是课程设计的重要性。我们每个人都需要独立完成一个简单机构的设计,计算各机构的尺寸,同时还需要编写符合规范的设计说明书,正确绘制相关图纸。 通过这个项目,我们应学会如何收集与分析资料,如何正确阅读与书写说明书,如何利用现代化的设备辅助工作。这种真正动手动脑的设计有效的增强我们对该课程的理解与领会,同时培养了我们的创新能力,为以后机械设计课程打下了坚实的基础。 二、设计题目 产品包装生产线使用功能描述 图中所示,输送线1上为小包装产品,其尺寸为长?宽?高=600?200?200,小包装产品送至A处达到2包时,被送到下一个工位进行包装。原动机转速为1430rpm,每分钟向下一工位可以分别输送14,22,30件小包装产品。 产品包装生产线(方案一)功能简图 三、设计机械系统运动循环图 由设计题目可以看出,推动产品在输送线1上运动的是执行构件1,在A处把产品推到下一工位的是执行构件2,这两个执行构件的运动协调关系如图所示。 ?1?1 执行构件一 执行构件二 ?01?02 运动循环图

图中?1 是执行构件1的工作周期,?01 是执行构件2的工作周期,?02是执行构件2的动作周期。因此,执行构件1是做连续往复运动,执行构件2是间歇运动,执行构件2的工作周期?01 是执行构件1的工作周期T1的2倍。执行构件2的动作周期?02则只有执行构件1的工作周期T1的二分之一左右。 四、 设计机械系统运动功能系统图 根据分析,驱动执行构件1工作的执行机构应该具有的运动功能如图所示。运动功能单元把一个连续的单向传动转换为连续的往复运动,主动件每转动一周,从动件(执行构件1)往复运动一次,主动件转速分别为14,22,30rpm 14,22,30rpm 执行机构1的运动功能 由于电动机的转速为1430rpm ,为了在执行机构1的主动件上分别得到14、22、30rpm 的转速,则由电动机到执行机构1之间的总传动比i z 有3种,分别为 i z1= 141430 =102.14 i z2=221430=65.00 i z3=30 1430=47.67 总传动比由定传动比i c 和变传动比i v 两部分构成,即 i z1=i c i v1 i z2=i c i v2 i z3=i c i v3 3种总传动比中i z1最大,i z3最小。由于定传动比i c 是常数,因此,3种变传动比中i v1最大,i v3最小。为满足最大传动比不超过4,选择i v1 =4 。 定传动比为 i c = v1 z1i i =4102.14=25.54 变传动比为 i v2= c z2i i =54.2565=2.55 i v3= c z3i i =54 .2547.67=1.87 传动系统的有级变速功能单元如图所示。 i=4,2.55,1.87 有级变速运动功能单元

综合课程设计

可用C++(Visual C++ 6.0),JA V A(JSP,STRUTS),C#(https://www.wendangku.net/doc/313438738.html, ,Visual Studio 2005),试题目而定。 1、综合购物频道(限最多3人选) 项目描述:是一个在线销售系统,是一个B-C模式的电子商务系统,由前台的B/S模式购物系统和后台的C/S模式的管理系统两部分组成。该电子商务系统可以实现会员注册、浏览商品、查看商品详细信息、选购商品、取消订单和查看订单等功能,前台系统的详细功能。目的:了解项目开发的一个基本流程以及如何运用现行的框架搭建一个大型的综合型系统2、某大型企业内部OA(限最多3人选) 项目描述:采用网络办公自动化系统,不仅能快速提高企业的运作效率,节省大量的办公费用,能全面提升企业的核心竞争力和生产力以及提高工作效率。该企业内部OA系统采用模型组件与WEB技术结合的方式,具有强大的功能,广泛的适用性、可靠安全性和可扩展性。目的:学习运用当前热门的前台技术。 3、产品展示厅(限最多3人选) 项目描述: 在互联网发达的今天,当您想客户宣传自己的产品时,最好的方式是拥有自己的网站,通过网络来传播和展示您的产品信息。产品展示系统,为客户详细介绍自己的产品,提供了一个功能强大的平台。 系统界面友好、功能强大、操作简便,用户可以方便迅速掌握系统的操作。 4人事管理系统(限最多3人选) 项目描述:人事档案完整资料、人事分类管理(员工户口状况、员工政治面貌、员工生理状况、员工婚姻状况、员工合同管理、员工投保情况、员工担保情况)、考勤管理、加班管理、出差管理、人事变动管理(新进员工登记、员工离职登记、人员变更记录)、员工培训管理(员工培训、员工学历)、考核奖惩、养老保险等几大模块。系统具有人事档案资料完备,打印灵活,多样、专业的报表设计,灵活的查询功能等特点。 主要技能:掌握项目的开发流程:需求分析、详细设计、测试等;熟悉VC的多文档的开发技能和技巧;利用ADO技术操作SQL Server数据库;掌握数据库的开发和操作技能。 5、即时通讯系统(限最多3人选) 项目描述:系统采用UDP协议,具有:收发在线和离线消息、添加/删除好友、服务器端存储好友列表、在客户端存储好友资料和聊天记录、添加/删除好友组、可以群发消息、收发文件等功能。 主要技能:掌握项目的开发流程:需求分析、详细设计、测试等;熟悉VC的网络通信的开发技能和技巧,包括:TCP和UDP协议、线程等;利用ADO技术操作SQL Server数据库; 6、推箱子(限最多3人选) 【规则】本游戏的目的就是把所有的箱子都推到目标位置上。箱子只能推动而不能拉动。一次只能推动一个箱子。 经典的推箱子是一个来自日本的古老游戏,目的是在训练你的逻辑思考能力。在一个狭小的仓库中,要求把木箱放到指定的位置,稍不小心就会出现箱子无法移动或者通道被堵住的情况,所以需要巧妙的利用有限的空间和通道~! 7、贪吃蛇(限最多3人选) 【规则】: A 用键盘的方向键控制蛇的上下左右移动。 B 游戏分为三种难度,SLUG为慢速,每吃一朵花得1分;WORM 为中速,每吃一朵花得2分;PYTHON为快速,每吃一朵花得3分。 C 游戏目标:操纵屏幕上那条可爱的小蛇,在黑框中不停吃花,而每吃一朵

最新哈工大机械设计课程设计

一、传动装置的总体设计 1.1 电动机的选择 1.1.1 选择电动机类型 根据设计要求和工作条件选用Y系列三相鼠笼型异步电动机,其结构为全封闭自扇冷式结构,电压为380 V。 1.1.2 选择电动机容量 根据设计数据,工作机的有效功率为 从电动机到工作机输送带之间的总效率为: 式中,、、、分别为联轴器、轴承、齿轮传动和卷筒的传递效率。由表9.1取=0.99、=0.99、=0.97、=0.97,则 所以电动机所需工作功率为 1.1.3 确定电动机转速 按表2.1推荐的传动比合理范围,二级圆柱齿轮减速器传动比,而工作机卷筒轴的转速为 所以电动机转速的可选范围为 符合这一范围的同步转速有750r/min、1000r/min和1500r/min三种。综合考虑电动机和传动装置的尺寸、质量、及价格等因素,为使传动装置结构紧凑,决定选用同步转速为1000 r/min的电动机。 根据电动机类型、容量和转速,查表15.1选定电动型号为Y132S-6,其主要性能如下表: 电动机型号 额定功率 /Kw 满载转速 /(r/min) Y132S-6 3 90 2.0 2.0

型号H A B C D E FxGD G K b b1b2AA HA L1 Y132S 132 216 140 89 38 80 10x8 33 12 280 210 135 60 18 475 1.2 计算传动装置总传动比并分配传动比 总传动比为 分配传动比 考虑润滑条件,为使结构紧凑,各级传动比均在推荐值范围内,取,故 1.3 计算传动装置各轴的运动及动力参数 1.3.1 各轴的转速 I轴: II轴: III轴: 卷筒轴: 1.3.2 各轴的输入功率 I轴: II轴: III轴: 卷筒轴: 1.3.3 各轴的输入转矩 电动机的输出转矩T d为

综合课程设计报告

综合课程设计报告

摘要 本报告介绍了一个运用c++设计一个个人的记账软件具体过程。实现了添加、查询、删除、修改等功能。能够大致的记录个人的收入支出情况。 开发背景 个人理财在中国得到大众的认可和金融机构的重视是近几年的事情。人们对个人理财的重视程度,与我过市场经济制度不断完善、资本市场的长足发展、金融产品的日趋丰富以及居民总体收入水平的上升等等是分不开的。可是比起发达国家我们的理财观念还远远不足。 可是理财并不困难,并非非要靠个人理财专业人士的建议才能身体力行。只要了解收支状况、设定财务目标、拟定策略、编列预算、执行预算到分析成果这六大步骤,便能够轻松的达成个人的财务管理。至于要如何预估收入掌握支出进而检讨进则有赖于平日的财务记录,也就是需要一款便于记账的软件。 最近越来越多的人具有记账的习惯。家庭、个人的收入支出结构在日益变化,单纯的靠本子记录收入支出无法满足对于收入支出结构的统计分析,因此以个人用户为目标的记账软件应运而生。相应的各种面向家庭以及个人的理财软件也越来越多。可是众多个人理财软件操作专业,对于个人用户而言功能过于强大,分析

数据用语也不易理解。因此开发一个操作简便、统计结果直观并对个人用户理财有参考价值的记账软件无疑能为广大个人用户提供方便。 总而言之,在不久的将来家庭使用理财软件也将成为国内家庭的必须品。能提供简单明了的功能以及操作的记账软件更是被广泛需要。这种软件也会为提升人们的胜过品质发挥它最大的作用。 技术背景 C语言是国内广泛使用的一种计算机语言,学会使用c语言进行程序设计是计算机工作者的一项基本功。对于我们大学生来说,学习这样一门c程序课程更是有必要。此次课程设计我所采用的环境是vc++,使用基本控制结构,如循环和选择,着重实现管理系统的增删改以及查询等典型的功能。程序设计是一门实践性很强的课程,既要掌握概念又要动手编译,更多的是要上机去调试,虽然初学时很麻烦,可是养成习惯后我相信受益匪浅。 开发环境 Vc++,win7. 设计目标 为了满足用户的需要,本系统将实现以下功能: 记录日常收支情况,查找某天的收支情况,插入忘记的收支功

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

哈工大机械原理课程设计齿轮传动设计大作业20无错版

机械原理课程设计大作业 ——齿轮传动系统20 课程名称:机械原理课程设计 设计题目:齿轮传动系统分析 院系:机电工程学院 班级: 15 设计者: 学号: 115 指导教师:陈 设计时间: 2017年6月

1、设计题目 1.1机构运动简图 1 序号 电机转速(r/min ) 输出轴转速(r/min ) 带传动最大传动比 滑移齿轮传动 定轴齿轮传动 最大传动比 模数 圆柱齿轮 圆锥齿轮 一对齿 轮最大 传动比 模 数 一对齿轮最大传动比 模数 20 970 30 35 40 ≤2.5 ≤4 2 ≤4 3 ≤4 3 2、传动比的分配计算 电动机转速,输出转速min /30=n /35=min /40r n =带传动的最大传动比,滑移齿轮传动的最大传动比 根据传动系统的原始参数可知,传动系统的总传动比为: 333.3230970 1=== n i 714.2735 022=== n i 250.2440 3=== n i

传动系统的总传动比由带传动、滑移齿轮传动和定轴齿轮传动三部分实现。设带传动的传动比为,定轴齿轮传动的传动比为f ,则总传动比 f v p f v p f v p 令则可得定轴齿轮传动部分的传动比为 425.24 *5.2250 .24max max 3=== f i i i 滑移齿轮传动的传动比为 333.5425 .2*5.2max 11== = f p v i i i 571.4425 .2*5.2714 .27max 22== = f p v i i i 设定轴齿轮传动由3对齿轮传动组成,则每对齿轮的传动比为 3、齿轮齿数的确定 根据滑移齿轮变速传动系统中对齿轮齿数的要求,可大致选择齿轮5、6、7、8、9和1042,8,41,9,40,10======1=h ,径向间隙系数25.0=c ,分度圆压力角20=α,实际中心距 mm a 50'=。 根据定轴齿轮变速传动系统中对齿轮齿数的要求,可大致选择齿轮11、12、13和14为高度变位齿轮,其齿数:。它们的齿顶高系数1=h 间隙系数25.0=c ,分度圆压力角20=α,实际中心距mm a 51'=。圆锥齿轮15和16 29,17==1=h ,径向间隙系数,分度 圆压力角为(等于啮合角α)。

哈工大综合课程设计2

哈尔滨工业大学“综合课程设计II”任务书

综合课程设计II 项目总结报告 题目:卧式升降台铣床主传动系统设计 院(系)机电工程学院 专业机械设计制造及其自动化 学生 学号 班号1208108 指导教师 填报日期2015年12月16日 哈尔滨工业大学机电工程学院制 2014年11月

目录1.项目背景分析4 2.研究计划要点与执行情况4 3.项目关键技术的解决4 3.1确定转速系列4 3.2确定结构式4 3.3绘制转速图、传动系统图及核算误差5 4.具体研究内容与技术实现5 4.1确定转速系列5 4.2绘制转速图6 4.3确定变速组齿轮传动副的齿数及定比传动副带轮直径8 4.4绘制传动系统图10 4.5核算主轴转速误差10 4.6传动轴的直径的确定11 4.7齿轮模数的初步计算12 4.8选择带轮传动带型及根数13 5.技术指标分析14 5.1第2扩大组的验证计算14 5.2传动轴2的验算16 5.3主轴组件的静刚度验算18 6.存在的问题与建议21

参考文献22 1.项目背景分析 铣床系指主要用铣刀在工件上加工各种表面的机床。通常铣刀旋转运动为主运动,工件(和)铣刀的移动为进给运动。它可以加工平面、沟槽,也可以加工各种曲面、齿轮等。铣床是用铣刀对工件进行铣削加工的机床。铣床除能铣削平面、沟槽、轮齿、螺纹和花键轴外,还能加工比较复杂的型面,效率较刨床高,在机械制造和修理部门得到广泛应用。 铣床是一种用途广泛的机床,在铣床上可以加工平面(水平面、垂直面)、沟槽(键槽、T 形槽、燕尾槽等)、分齿零件(齿轮、花键轴、链轮、螺旋形表面(螺纹、螺旋槽)及各种曲面。此外,还可用于对回转体表面、内孔加工及进行切断工作等。铣床在工作时,工件装在工作台上或分度头等附件上,铣刀旋转为主运动,辅以工作台或铣头的进给运动,工件即可获得所需的加工表面。由于是多刃断续切削,因而铣床的生产率较高。简单来说,铣床可以对工件进行铣削、钻削和镗孔加工的机床。 2.研究计划要点与执行情况 本设计机床为卧式铣床,其级数12Z =,最小转数 min 28/min n r =,转速公比为 41.1=?,驱动电动机功率 5.5N kW =。主要用于加工钢以及铸铁有色金属;采用高速钢、硬质合金、陶瓷材料做成的刀具。 第一周:准备图版等工具,齿轮和轴的计算完成,进行初步计算并开始画展开草图。 第二周:完成截面草图,验算、加粗。 第三周:撰写项目总结报告。 3.项目关键技术的解决 3.1确定转速系列 根据已知要求的公比,查表得到系统转速系列: 28 40 56 80 112 160 224 315 450 630 900 1250 r/min 3.2确定结构式 13612322=??

哈工大数电实验

姓名班级学号 实验日期节次教师签字成绩 可调频双花型彩灯控制器 1.实验目的 在许多场合可以看到LED彩灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用。为了将数字电路的知识灵活的运用到实际应用中,现设计一个能够控制闪烁频率并且能变换花型的LED彩灯的控制电路。 2.总体设计方案或技术路线 由该控制电路的功能可知,该控制器的电路由三部分构成,第一部分是时钟脉冲发生电路,第二部分是花型选择电路,第三部分是花型产生电路。首先,要做变频,可以通过给定不同频率的时钟脉冲来控制,而555定时器构成的多谐振荡电路恰好有输出不同频率波形的功能,通过改变外接电阻阻值即可轻松改变输出波形的频率,所以这一部分用555定时器来产生不同频率的脉冲,再用2/8分频器分频;受到实验室的实验箱的限制,本次实验仅产生两种花型做演示,选择电路由74LS138译码器完成;花型彩灯的花型需要序列脉冲发生器产生,移位寄存器74LS194组成的脉冲发生器可以实现此功能。 3.实验电路图

4.仪器设备名称、型号和技术指标 实验箱、555定时器*1、74LS138*1、74LS93*1、74LS194*2、74LS20*1、74LS32、5kΩ电阻*1、10kΩ滑动变阻器*1、1μF电容*2 5.理论分析或仿真分析结果 电路接通后,555定时器输出端开始产生脉冲信号,由于此时频率较高,不便于观察,所以需降频,经过2/8分频器之后,频率有明显下降,将此信号接到译码器的G1端,译码器B、C端接低电平,A端手动控制,Y0、Y1端分别接到两个74LS194芯片的CP端,当A接低电平时,Y0有效,此时第一个194芯片被选中,A接高电平,第二个194芯片被选中。下表为要实现的花型,按此表画卡诺图并化简,得到花型1的 D SR=~(Q A Q B Q C Q D),花型1的D SR=~Q D,并由此连接电路。两片194芯片的输出通过或门 9 10000001

哈工大机械设计课程设计-带式运输机-二级齿轮

一、传动装置的总体设计 (一)设计题目 课程设计题目:带式运输机传送装置 1.设计数据及要求: 设计的原始数据要求: F=2200N ; d=250mm ; v=s 机器年产量:小批量; 机器工作环境:清洁; 机器载荷特性:平稳; 机器最短工作年限:6年2班。 2.传动装置简图: (二)选择电动机 1.选择电动机的类型 根据参考文献[2],按工作要求和工作条件选用Y 系列三相笼型异步电动机。全封闭自扇冷式结构,电压为380V 。 2.选择电动机的容量 工作机的有效功率为: KW kW Fv W 98.11000 9 .000221000 P =?= = 从电动机到工作机传送带间的总效率为:

2421234ηηηηη∑ = 式中:1234ηηηη、、、分别为联轴器、轴承、齿轮传动、卷筒的传动效率。联轴器选用弹性联轴器,轴承为角接触球轴承,齿轮为8级精度齿轮,由参考文献[2]表取 。则: 所以电动机所需要的工作功率为: 3.确定电动机转速 按参考文献[2]表推荐的传动比合理范围,二级圆柱齿轮减速器传动比, 而工作机卷筒轴的转速为: 所 以 电 动 机 转 速 的 可选范围为 : 符合这一范围的同步转速有750 r/min 、1000 r/min 、1500 r/min 三种。综合考虑电动机和传动装置的尺寸、质量及价格等因素,为使传动装置结构紧凑,决定选用同步转速为1000r/min 的电动机,另需要其中电机工作所需额定功率:ed d P P ≥。 根据电动机类型、容量和转速,由参考文献[2]表以及有关手册选定电动机型号为Y132S-6。其主要性能如下表: 电动机型号 额定功率/kW 满载转速 /(r/min) 起动转矩 额定转矩 最大转矩 额定转矩 Y132S-6 3 960 由参考文献[2]表查得电动机的主要安装尺寸及外形尺寸如下: 型号 H A B C D E F ×GD G K Y132S 132 216 140 70 38 80 10×8 33 12 --- b b b h A BB H L

哈工大综合课程设计:卧式升降台铣床

机械制造装备课程设计项目总结报告题目:工作台面积320×1250mm2 卧式升降台铣 床主传动系统设计 院(系)机电工程学院 专业机械设计制造及其自动化 学生 学号 班号 指导教师韩振宇 填报日期2014年12月10 哈尔滨工业大学机电工程学院制

2014年4月 哈尔滨工业大学机械制造装备课程设计任务书

目录1.项目背景分析 1.1. 综合课程设计II的目的 1.2. 金属切削机床在国内外发展趋势 2. 研究计划要点与执行情况 2.1. 设计任务 2.2. 进度安排 3. 项目关键技术的解决 4. 具体研究内容与技术实现 4.1.机床的规格及用途 4.2.运动设计 1.确定极限转速: 2.确定结构网或结构式: 3.绘制转速图: 4.绘制传动系统图 1)确定变速组齿轮传动副的齿数 2)核算主轴转速误差 4.3.动力设计 1.传动件的计算转速 2.传动轴直径初定 3.主轴轴颈直径的确定 4.齿轮模数的初步计算 4.4.结构设计 4.5.零件的验算 1直齿圆柱齿轮的应力计算 2齿轮精度的确定 3传动轴的弯曲刚度验算 4主轴主件静刚度验算 5. 存在的问题与分析 6. 技术指标分析 参考文献

1. 项目背景分析 1.1.综合课程设计II的目的 机床课程设计,是在金属切削机床课程之后进行的实践性教学环节。其目的在于通过机床主运动机械变速传动系统的结构设计,使学生在拟定传送和变速的结构方案中,得到设计构思、方案分析、结构工艺性、机械制图、零件计算、编写技术文件和查阅技术资料等方面的综合训练,树立正确的设计思想,掌握基本的设计方法,并培养学生具有初步的结构分析、结构设计和计算能力。 1.2.金属切削机床在国内外发展趋势 机床作为加工的母机,总是要保证和提高加工质量和生产率,随着科技的不断进步,各种机床也相应地不断发展与更新,如性能参数的提高、功能的扩大、切削功率的加大,自动化程度的提高,机床动态性能的不断改善,加工精度的不断提高,基础元件的不断创新,控制系统的更新等等。 我国机床工业的发展趋势:根据机床工具工业局对振兴我国机床工业的设想,要在以后相当长时期内限制和压缩落后机床的生产,要化大力气发展高性能、高效率、高水平的适合国民经济需要的“高档”产品,改善机床品种的构成比。重点发展机、电、仪结合的产品。注意在冲压、电加工、激光、等离子加工中应用数控技术。 国外机床工业的发展,特别讲究机床的精度、效率,讲究机床制造工艺技术水平,试验分析与理论研究。从七十年代以来,国外已普遍推广使用数控机床。日本和美国已建成柔性自动化生产车间和柔性自动化工厂,整个机床制造的技术水平和自动检测控制技术已有大幅度提高。 2. 研究计划要点与执行情况 2.1.设计任务 机械制造及其自动化专业的“综合课程设计II”,是以车床和铣床主传动系统

哈工大自动控制原理课程设计

课程名称:自动控制原理 设计题目:控制系统的设计和仿真 院系:航天学院控制科学与工程系班级: 设计者: 学号: 指导教师: 设计时间:2013.2.25---2013.3.10 哈尔滨工业大学

一、设计题目与题目分析 1.设计题目 1)已知控制系统固有传递函数如下: 2)系统性能指标要求: (1)超调量; (2)响应时间; (3)稳态误差; (4)最大速度; 2.题目分析 根据系统固有传递函数和系统性能指标要求,确定设计思路如下:首先完成使对系统无静差度和放大倍数的设计,稳态误差满足性能指标要求;再根据Bode 图设计串联校正环节,限制系统的相角裕度和剪切频率,最终使系统对阶跃响应的超调量和调整时间符合性能指标要求。 二、人工设计 1.稳态误差设计 根据系统固有传递函数,系统的无静差度符合要求,且系统放大倍数应符合如下要求: 得到: 在设计中,为方便计算并留有余量,取,并代入系统固有传递函数。 2.串联校正环节设计 绘制系统固有传递函数部分的Bode图,见附录。根据性能指标第12条中对超调量和响应时间的规定,根据经验公式: 计算得到对系统相角裕度和剪切频率的要求:

根据系统固有传递函数,求出系统的相角裕度和剪切频率: 由于固有相角裕度过小而剪切频率远远大于性能指标要求,可先选用串联迟后校正: 取相角裕度,根据原有Bode图计算得到,并选取由此确定串联迟后校正环节为: 加入迟后校正后,再绘制Bode图(见附录),得到: 此时,剪切频率和相角裕度都比要求之偏小,应用串联超前校正: 取,根据Bode图得到,,由此确定串联超前校正环节为: 加入串联迟后—超前校正后得到系统新的Bode图(见附录),并根据Bode 图,得到控制系统新的相角裕度和剪切频率为; 知系统已经符合性能指标要求,并进行验算得到系统地超调量和响应时间为: 经过验算,知控制系统经过串联迟后—超前校正后,已经符合性能指标要求。 三、计算机辅助设计 控制系统固有部分的Simulink仿真框图如图1 图1

哈工大2011年数电期末试题+答案

哈工大2011 年秋季学期 数字电子技术基础试题(A) 一、(10分)填空和选择填空(每空1分) 1.根据反演规则,若Y=AB C D C +++,则Y=() AB C D C ++?。 2. 图1所示门电路均为TTL门,则电路输出P1=() AB BC AB BC + ;P2=() A C C A C ++。 P2 C P1 图1 3.由TTL门组成的电路如图2所示,已知它们的输入短路电流为 I S= 1.6mA,高电平输入漏电流I R=40μA。试问:当A=B=1时,G1的灌(拉,灌)电流为 3.2mA;A=0时,G1的拉(拉,灌)电流为160μA。 图2 4.3位扭环形计数器的计数长度为 6 。 5.某EPROM有8条数据线,13条地址线,则存储容量为64kbit。 6.某512位串行输入串行输出右移寄存器,已知时钟频率为4MH Z,数据从输入端到达输出端被延迟128 μs。

二、(6分)F (A ,B ,C ,D )=(0,2,3,4,5,6,7,11,12)(8,9,10,13,15)m d +∑∑,用两片74LS138和最少的二输入与门实现F 。 BIN /OCT BIN /OCT ( I ) ( II ) B 1E 3 E 2 E 1 B 2 B 0 Y 0 Y 1 Y 2 Y 3 Y 4Y 5 Y 6 Y 7 B 1E 3 E 2 E 1 B 2 B 0 Y 0 Y 1 Y 2 Y 3 Y 4Y 5 Y 6 Y 7 74LS138 74LS138 图3 解: 114114F m m m m =+= BIN/OCT BIN/OCT ( I )( I I )B 1E 3 E 2 E 1 B 2 B 0 Y 0 Y 1Y 2 Y 3 Y 4Y 5 Y 6 Y 7 B 1E 3 E 2E 1 B 2 B 0 Y 0 Y 1 Y 2 Y 3 Y 4Y 5 Y 6 Y 7 74LS138 74LS138 D A B C 1 F

哈工大机械设计课程设计

一、传动装置的总体设计电动机的选择 选择电动机类型 根据设计要求和工作条件选用Y系列三相鼠笼型异步电动机,其结构为全封闭自扇冷式结构,电压为380 V。 选择电动机容量 根据设计数据,工作机的有效功率为 P w= Fxv 1000 = 2130Nx1.1m s ? 1000 =2.343Kw 从电动机到工作机输送带之间的总效率为: η∑=η12η24η32η4 式中,η1、η2、η3、η4分别为联轴器、轴承、齿轮传动和卷筒的传递效率。由表取η1=、η2=、η3=、η4=,则 η∑=η12η24η32η4=0.992x0.994x0.972x0.97=0.86 所以电动机所需工作功率为 P d= P w η∑ = 2.343kW 0.86 =2.72kW

确定电动机转速 按表推荐的传动比合理范围,二级圆柱齿轮减速器传动比i ∑′=8~40,而工作机卷筒轴的转速为 n w =60x1000xv πd =60x1000x1.1 πx240 r min ?≈88 r min ? 所以电动机转速的可选范围为 n d =i ∑‘n w =(8~40)x88r min ?=(704~3520) r min ? 符合这一范围的同步转速有750r/min 、1000r/min 和1500r/min 三种。综合考虑电动机和传动装置的尺寸、质量、及价格等因素,为使传动装置结构紧凑,决定选用同步转速为1000 r/min 的电动机。 根据电动机类型、容量和转速,查表选定电动型号为Y132S-6,其主要性能如下表: 电动机的主要安装尺寸和外形尺寸如下表:

计算传动装置总传动比并分配传动比总传动比i∑为 i∑=n m n w = 960 88 =10.91 分配传动比 i∑=i I xi II 考虑润滑条件,为使结构紧凑,各级传动比均在推荐值范围内,取i I=1.4i II,故 i I=√1.4i∑=√=4 i II=i∑ i I = 12.08 4.11 =2.73 计算传动装置各轴的运动及动力参数各轴的转速 I轴:n I=n m=960r min ? II轴:n II=n I i I =960r min ? 4 =240r min ? III轴:n III=n II i II =240r min ? 2.73 =88r min ? 卷筒轴:n W=n III=88r min ?

《综合课程设计》教学大纲

《综合课程设计》教学大纲 课程名称:综合课程设计 英文名称:Integrated Course Project for Communication Systems 总学时:3周,理论学时:实验学时:学分:3 先修课程要求: 电路分析、模拟电子技术、数字电子技术、高频电子线路、通信原理、FPGA原理与应用、Matlab与通信仿真技术、微机原理与接口技术、单片机技术及应用、计算机网络等 适用专业:通信工程 教学参考书: 樊昌信等编,《通信原理(第六版)》,国防工业出版社,2006年 马淑华等编,《单片机原理及应用》,北京航空航天大学出版社,第1版 褚振勇等编,《FPGA原理与应用》,西安电子科技大学出版社,第2版 谢希仁等编,《计算机网络》,电子工业出版社,第4版 1课程设计在培养方案中的地位、目的和任务 《综合课程设计》是配合本科通信工程专业的专业基础课程《通信原理》、《FPGA原理与应用》、《Matlab与通信仿真分析》、《单片机技术及应用》、《计算机网络》而开设的重要专业实践环节。目的是培养学生科学理论结合实际工程的能力,通过该课程设计,要求学生在掌握通信基本理论的基础上,运用Matlab、FPGA、NS-2等工具对通信子系统或计算机网络进行仿真与设计,并计算基本性能指标,从而提高学生的综合设计实践能力。 另一方面,也可通过课程设计使学生深入理解单片机的基本原理,硬件结构和工作原理。 掌握程序的编制方法和程序调试的方法,掌握常用接口的设计及使用。掌握一般接口的扩展 方法及接口的调试过程。为学生将来在通信工程、电子信息工程、测试计量技术及仪器、电 子科学与技术及其它领域应用单片机技术打下良好基础及应用实践能力。 2 课程设计的基本要求 1. 学习基本设计方法;加深对课堂知识的理解和应用。 2. 完成指定的设计任务和实验任务,理论联系实际,实现书本知识到工程实践的过渡。 3. 学会设计报告的撰写方法。 3 课程设计的内容 1. 无线收发信机部件设计

哈工大综合课程设计2综述

综合课程设计II 项目总结报告 题目:最大加工直径ф320mm无丝杠车床主传动系统设计 院(系)机电工程学院 专业机械制造及其自动化 学生白学林 学号1120810813 班号1208108 指导教师韩德东 填报日期2015年11月30日 哈尔滨工业大学机电工程学院制 2014年11月

哈尔滨工业大学“综合课程设计II”任务书

目录 1.项目背景分析 (2) 2.研究计划要点与执行情况 (2) 3.项目关键技术的解决 (3) 4.具体研究内容与技术实现 (4) 5.技术指标分析 (27) 6.存在的问题与建议 (27) 7. 参考文献 (28)

1.项目背景分析 本项目旨在设计一款无丝杠车床。车床是主要用车刀对旋转的工件进行车削加工的机床。车床又称机床,使用车床的工人称为“车工”,在机械加工行业中车床被认为是所有设备的工作“母机”。车床主要用于加工轴、盘、套和其他具有回转表面的工件,以圆柱体为主,是机械制造和修配工厂中使用最广的一类机床。铣床和钻床等旋转加工的机械都是从车床引伸出来的。普通车床是车床中应用最广泛的一种,约占车床类总数的65%,因其主轴以水平方式放置故称为卧式车床。 在现代机械制造工业中,金属切削机床是加工机器零件的主要设备,它所担负的工作量约占机器总制造工作量的40%到60%。机床的技术水平直接影响机械制造工业的产品质量和劳动生产率。 在机械制造及其自动化专业的整个教学计划中,“综合课程设计II”是一个极其重要的实践教学环节,其脱胎于“机床课程设计”,目的是为了锻炼学生机械“结构”的设计能力,这是机械类学生最重要的设计能力;同时,机床为制造工业“母机”,结构典型,非常适合作为课程设计内容。 2.研究计划要点与执行情况 2.1 设计任务 机械制造及其自动化专业的“综合课程设计II”,是以车床和铣床主传动系统设计为内容,每个学生设计参数不同,完成展开图和截面图各一张及相关计算和文件和项目结题报告。 (1)设计内容要求 图纸工作量:画两张图。其中: 开展图(A0):轴系展开图。其中摩擦离合器、制动和润滑不要求画,但要求掌握;操纵机构只画一个变速手柄。 截面图(A1):画剖面轴系布置示意图(包括截面外型及尺寸、车床标中心高)。 (2)标注:中心距、配合尺寸、定位尺寸、中心高(车床)、外型尺寸。 (3)标题栏和明细栏 不设明细表,件号采用流水号(1,2,3,…)标注,标准件的标准直接标在图纸上(件号下面); 标题栏采用标准装配图的标题栏(180×56),其中,图号:KS01(表示:课设01 号图纸);单位:哈尔滨工业大学;图名:主传动系统装配图。 (4)主轴端部结构要按标准画。 (5)按模板编写《项目总结报告》,相关设计计算内容,写到“具体研究内容与技术实现”项中。要求验算:一对齿轮,小齿轮验算接触弯曲强度,大齿轮验算接触弯曲强度,一根传动轴,主轴按两支撑计算。

哈工大数电实验预考核

Read me: 1.用法,出现在题干里的选项是正确选项,出现在选项下面的选项是错误选项。 2.大部分题看看实验视频就可以得出结果,考前一定看视频别过分依赖这机经。 3.题库不全,有些题只排除部分错误答案,没得出正确答案,因为在那之后我已经通过预考核,没法遇上同样的题,错过了就错过了。 4.祝PRC 65周年生日快乐。 ·实验一组合数字电路基础实验 (开放时间:2014/10/8至2014/10/18) 试题2、本次实验芯片的供电电源电压为_A___。 A:+5V B:+12V C:±12V D:±5V 试题3、搭接本次组合数字电路实验时,应将芯片插在_D_。 A:单级放大电路子板 B:集成运算放大电路子板 C:面包板 D:EEL-69实验平台右侧芯片座 试题1、74LS00芯片的每个与非门为几输入与非门? A:1个输入 B:2个输入 C:3个输入 D:4个输入 bd 试题2、做本次数字电路实验,在EEL—69实验箱上选哪一路接线柱?C A:12V、GND B:+5V、-5V C:+5V、GND D:-5V、GND 试题3、74LS151芯片是: D A:与非门 B:8选1数据选择器 C:4选1数据选择器

D:双4选1数据选择器 试题4、 C A:1) B:2) C:3) 试题1、74LS00芯片包含几个与非门? D A:1个与非门 B:2个与非门 C:3个与非门 D:4个与非门 试题5、74LS20芯片包含几个与非门? B A:1个与非门 B:2个与非门 C:3个与非门 D:4个与非门 试题3、C A:1) B:2) C:3) 试题3、组合数字电路的输出采用下面何种设备测试?D A:信号发生器 B:万用表 C:示波器 D:EEL-69实验平台发光二极管

专业综合课程设计

西安欧亚学院信息工程学院 课程报告 课程名称:专业综合课程设计 专业班级:统本通信1403班 姓名:庞盟 学号:14611006150041 完成时间:2015年10月21日

一、课程实训目的 该课程安排LTE网络优化实训模块,通过该课程的学习,学生可掌握LTE的关键技术以及从事网络优化需要具备的实操能力。让学生利用MAPINFO将基站信息进行地图可视化的,并制作专题地图等相关图层,同时进行网络规划、网络优化等实际工作的应用。掌握路测软件的基本功能操作,并进行4G网络的实战测试,进一步加深网络优化测试工作的流程和方法,能够进行简单网络问题的分析判断,并撰写相应的优化方案。掌握EXCELL函数(VLOOKUP、MID、数据透视、分裂等)在网络优化工作中的实际应用,能够进行基站信息的整合,网络指标曲线走势图、对比柱状图的制作。 二、课程实训要求 1、实习期间要提高安全意识,自觉遵守国家法律、法规,遵守实习单位的各项规章制度,注意自身的人身和财物安全,防止各种事故发生。 2、实习期间应服从带队老师的管理。严格遵守纪律,每个学生必须遵守实训场所的相关规章制度,听从实习教师的安排。遵守实习场所纪律、不迟到、不早退、不旷课。 3、在实习地应听从实习单位老师的指导。在实习工作时严格按照规章和指导老师的要求进行工作,不得违规操作。 三、课程实训地点 通信工程专业实习实训基地——华为HALP 四、课程实训过程 本次实训课程主要针对4G无线网络优化进行安排。对LTE网络的空中接口原理、关键技术进行了介绍,对实际工作中LTE网络的射频优化方法、单站验证流程进行了介绍,并对日常工作中经常用到的EXCEL、MAPINFO、PIONEER等常用优化工具进行了着重介绍,使我们能够对LTE网络的优化方法、优化流程、优化工具有一个全面的掌握,具备基本的优化技能。其中,PIONEER是集成了多个网络进行同步测试的新一代无线网络测试及分析软件,是世纪鼎利公司结合长期无线络优化的经验和最新的研究成果,具备完善的GSM、CDMA、EVDO、WCDMA、TD-SCDMA、LTE网络测试功能。MAPINFO是美国MAPINFO公司推出的一个地理信息系统处理软件,它提供定位,制作和处理的电子地图,数据/信息的地理化标注等功能,是地理信息系 统的代表作之一。

相关文档
相关文档 最新文档