文档库 最新最全的文档下载
当前位置:文档库 › 北交大自考计算机组成原理第三次作业

北交大自考计算机组成原理第三次作业

北交大自考计算机组成原理第三次作业
北交大自考计算机组成原理第三次作业

计算机组成原理考试卷

总分:100分

判断改错题

单选题

1、总线的数据通路宽度是指__a___。(3分)

A、能一次并行传送的数据位数

B、可依次串行传送的数据位数

C、单位时间内可传送的数据位数

D、可一次传送的数据的最大值

2、信息只用一条传输线,且采用脉冲传输的方式称为__ a____(3分)

A、串行传输

B、并行传输

C、并串行传输

D、分时传输

3、从信息流的传送效率来看,_b____工作效率最低。(3分)

A、三总线系统

B、单总线系统

C、双总线系统

D、多总线系统

4、下面哪种情况会提出中断请求___b___。(3分)

A、产生存储周期“窃取”

B、一次I/O操作结束

C、两个数相加

D、上述三种情况都发生

5、CPU响应中断时,进入“中断周期”采用硬件方法保护并更新程序计数器PC内容而不是由软件完成,主要因为___a___。(3分)

A、能进入中断处理程序并能正确返回原程序

B、节省内存

C、提高处理机速度

D、易于编制中断处理程序

6、通道是重要的I/O方式,其中适合连接大量终端及打印机的通道是_c_____。(3分)

A、数组多路通道

B、选择通道

C、字节多路通道

D、以上答案全不对

7、采用DMA方式传送数据时,每传送一个数据就要用一个___c___时间。(3分)

A、指令周期

B、机器周期

C、存储周期

D、总线周期

8、以下描述中基本概念正确的是 a 。

(3分)

A、硬盘转速高,存取速度快

B、软盘转速高,存取速度快

C、硬盘是接触式读写

D、软盘是浮动磁头式读写

9、在下列设备中,属于图形输入设备的是___c__。(3分)

A、键盘

B、条形码阅读机

C、扫描仪

D、显示器

10、微型机系统中,外围设备通过适配器与主板的系统总线相连接,其功能是___d__。(3分)

A、数据缓冲和数据格式转换

B、监测外围设备的状态

C、控制外围设备的操作

D、前三种功能的综合作用

判断题

1、单总线结构系统是指:各大功能部件之间用一组总线连接。(3分)√

2、信息传输基本有串行传送、并行传送、分时传送三种方式。(3分)×

3、中断传送职能在一个指令周期结束后进行,而DMA传送可以在两个机器周期之间进行。(3分)×

4、凡是由主机外部事件引起的中断都称为外中断,外中断均是强迫中断。(3分)√

5、在计算机系统中,除CPU和主存之外的其它部件和设备,常被称为外围设备。(3分)√

简答题

1、总线的同步传输方式与异步传输方式有何区别?各适合于哪些场合?(10分)

答:总线通信方式规定了实现总线数据传输的定时规则,也就是总线协议。在同步方式中,

所有的设备都从同一个公共的时钟信号中获得定时信息。数据的传输在一个共同的时钟信

号控制下进行,总线的操作有固定的时序。这种方式时序关系简单,实现也比较简单,有利

于提高总线传输速度,适用于系统中各个设备、各种总线的操作速度固定而且一直的场合。

异步通信采用握手信号代替时钟信号,总线操作周期时间不是固定的,操作的每个步骤都

有一个信号表示。异步方式允许总线周期有较大变化范围,可适合各种工作速度的设备,缺点是对噪声较敏感。

2、集中式仲裁有几种方式?画出链式查询方式的逻辑结构框图,说明其工作原理。(10分)

有三种方式:链式查询方式,计数器定时查询方式,独立请求方式。

链式查询方式的工作原理如下图所示链式方式,除一般数据总线D和地址总线A 以及中

央仲裁器外,主要有三根控制线

BS 忙 该线有效 表示总线正被某外线使用

BR 总线请求 该线有效 表示至少有一个外设要求使用总线

BG 总线同意 该线有效 表示总线控制部件响应总线请求 BR 。

链式查询方式的主要特征是总线同意信号BG的传送方式,串行地从一个I/O接口送到下

一个接口。假如信号BG到达的接口无总线请求,则接着往下传,假如信号BG 到达的

接口有总线请求,信号BG不再往下传,这意味着I/O接口就获得总线使用权。

3、何谓DMA方式? DMA控制器可采用哪几种方式与CPU分时使用内存?(10分)

直接内存访问(DMA)方式是一种完全由硬件执行I/O交换的工作方式。DMA控制器从CPU完全接管对总线的控制。

数据交换不经过CPU,而直接在内存和I/O设备之间进行。DMA控制器采用以下三种方式:

①停止CPU访问内存:当外设要求传送一批数据时,由DMA控制器发一个信号给CPU。DMA控制器获得总线控制权后,

开始进行数据传送。一批数据传送完毕后,DMA控制器通知CPU可以使用内存,并把总线控制权交还给CPU。

②周期挪用:当I/O设备没有 DMA请求时,CPU按程序要求访问内存:一旦 I/O 设备有DMA请求,则I/O设备挪用一个或几个周期。

③DMA与CPU交替访内:一个CPU周期可分为2个周期,一个专供DMA控制器访内,另一个专供CPU访内。不需要总线使用权的申请、建立和归还过程

4、比较针式打印机、喷墨打印机和激光打印机的特点及使用场合。(10分)

针式打印机最早出现,打印速度慢,噪音大,效果差,现在办公很少用了,仅用于少数特殊场合,如打发票(

银行,税务等),唯一优点是耗材(色带)便宜。

激光打印机用的是鼓,打印量大,打印的速度也快,适合公司用,有单色和彩色的,但不适合家庭用.鼓粉有毒,鼓也贵.不适合打印照片.

喷墨打印机大多适合打印量小的公司和个人用,大部分都是彩色的,联想牌子的可以用单色,喷墨打印机可以打印照片,也可以打印文档,

墨盒可以用连续供墨,爱普生打印机还有代替墨盒,价格也不贵,耗材底.

5、外围设备的I/O控制方式分哪几类?各具什么特点?(15分)

程序查询方式:CPU的操作和外围设备的操作能够同步,而且硬件结构比较简单。

程序中断方式:一般适用于随机出现的服务,且一旦提出要求应立即进行,节省了CPU的时间,但硬件结构相对复杂一些。

DMA方式:数据传输速度很高,传输速率仅受内存访问时间的限制。需更多硬件,适用于内存和高速外设之间大批交换数据的场合。

通道方式:可以实现对外设的统一管理和外设与内存之间的数据传送,大大提高了CPU的工作效率。

外围处理机方式:通道方式的进一步发展,基本上独立于主机工作,结果更接近一般处理机。

天大2016年12月《计算机组成原理》期末大作业考核要求

计算机组成原理 要求: 1.独立完成,作答时要按照模版信息 ....填写完整,写明题型、题号; 2.作答方式:手写作答或电脑录入,使用学院统一模版(模版详见附件); 3.提交方式:以下两种方式任选其一, 1)手写作答的同学可以将作业以图片形式打包压缩上传; 2)提交电子文档的同学可以将作业以word文档格式上传; 4.上传文件命名为“中心-学号-姓名-科目.rar”或“中心-学号-姓名-科 目.doc”; 5.文件容量大小:不得超过10MB。 请在以下几组题目中,任选一组题目作答,满分100分。 第一组: 一、论述题(20分) 1、简述:一条指令通常由哪些部分组成?简述各部分的功能。 二、分析题(30分) 1、指令格式结构如下所示,使分析指令格式以及寻址方式特点。 15 10 9 5 4 0 1. 有一台磁盘机器,平均寻道时间为30ms,平均旋转等待时间为120ms,数据传输速率为500B/ms,磁盘机桑存放着1000件每件3000B的数据。现欲把一件数据取走,更新后放回原地,假设一次取出或写入所需时间为:平均寻道时间+平均等待时间+数据传送时间。另外,使用CPU更新信息所需时间为4ms,并且更新时间同输入输出操作不相重叠。试问:(20分)(1)更新磁盘上全部数据需要多少时间? (2)若磁盘以及旋转速度和数据传输率都提高一倍,更新全部数据需要多少时间?2、有一个具有20位地址和32位字长的存储器,问:(30分) (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片? (3)需要多少位地址作为芯片选择?

第二组: 一、论述题(20分) 1、解释术语:总线周期。 二、分析题(30分) 1、CPU结构图如下图所示,其中有一个累加寄存器AC,各部分之间的连线表示数据通路,剪头表示信息传送方向。 (1)标明图中四个存储器的名称。 (2)简述指令从主存取到控制器的数据通路。 (3)简述数据在运算器和主存之间进行存/取访问的数据通路。 三、计算题(共50分) 1、已知某磁盘存储器转速为2400转/分,每个记录面道数为200道,平均查找时间为60ms,每道存储容量为96Kbit,求磁盘的存取时间与数据传播率。(20分) 2、今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,近假设完成各部操作的时间依次为100ns,100ns,80ns,50ns。请问:(30分) (1)流水线的操作周期应设计为多少? (2)若相邻两条指令发生数据相关,并且在硬件上不采取措施,那么第二条指令推迟多少时间进行? (3)若果在硬件设计上加以改进,至少推迟多少时间?

自考计算机组成原理总复习资料

1、是主机:、存储器和输入输出接口合起来构成计算机的主机 计算机W1件 由运算器和控制器构成。 3?:算术逻辑 运算单元, 逻辑各种算软逻令运本成计算的操作命令。"丄 亠 5、位:计算机中的一个二进制数据代中数据的最小表示单位。 6、字长:一个数据字中包含的位数,一般为8位,16位,32位或64位等。 7、操作系统:主要的系统软件,控制其它程序的运行,管理提统操作运行为用户 8、汇编程序:将汇器语言程序翻计算机软件。、亠一 9内汇编语言 :采用文字万式(助记符)表示的程序设计语言。 10、编译程序:将高级 语聲序转计算机 11 '、解释程序:解释执................ 计 或结 束的 信息 以及 表示 的_ , 常熟 汇义、信息包表、 示这些信息的汇编指 令称为伪指令。 AI羊丄11、o、 进制代码标识的能识别 制代行硬件言。 原码:带符号数器个符 号位表示数据的码表符 号,据的代对值。、 、, 2『^补码:、带符号数据 表示方法之一,正数的 z补码与原码』目同; 负数的补码是将二进制 位按位取反丿自阿最低 位加叮口― 3T摯码:带 符号数数表示方法与 原,码 相 一,负 数的反码是将一移码带 符号数据表示方 法之器,符号位 用1表示正号,0 代表负 号,其余为与补码相同 亠、一—5内。阶码、 在浮点数据编码中,表 示小数点的位置的代码 十据编码中,表示数据 有效值的代码。口甜绝 对值太大,以致大于数 据编码所能表示的数据 范围?十” J溢:指数 据的绝对值太小,以致 小的数又编围所能表示 9内算法:一 种带符号数 乘法的方 法,它作计 相补码相 据,它积。 内海明距离:在信息 编码中,两个合法代码 对应位上编码不同的位 数。 11、检错码:能够发现 某些错误或具有自动纠 错能力的数据编码。 12、纠错码:能够发现 某些错误并具有自 ___ 」O 器,使 算术运 算。…… 执行高级语的语释的执行源程序的语句。 12、接口:部^件之间的连接电路,、如输入 与控制信息的电路。13、伪指令:汇编语言程序通常还提供有的位程的信入内供有程序段和数据段开始 示程序的开始和结束信 息等,还可以有14、虚拟地址:在虚 拟存储器中,根据指令 逻成的地,,又称 15、卫 :疋 言。........... 据表示方法之一 正负,0代表正 .相 将 浮点数 口。 阶码上溢据的在浮 18、 加等包括校验位)中2o 的内浮目为乘除法运算 的五个步骤是什么内尾 码的加减运算。算结果 进行格入。45、、 即检查 出。 1、― 器,能够 态随机|问存储器所2内 只读存储器,只能读取 不能写入。“ 3、、可编、 程的,可被户编程的次。 一:可擦写可、编程 的,,可以被用户编程 多次。 5、相联存储器:一种 按内容的存储器,每个 存储单兀有匹配 数据所 ......... . 由多个相…—.. 量相同的 10、写 高电

4月全国计算机组成原理自考试题及答案解析

全国2019年4月高等教育自学考试 计算机组成原理试题 课程代码:02318 一、单项选择题(本大题共15小题,每小题1分,共15分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 1.若二进制数为1111.101,则相应的十进制数为( )。 A.15.625 B.15.5 C.14.625 D. 14.5 2.在下列设备中,属于图形输入设备的是( )。 A.键盘 B.条形码阅读机 C.数字化仪 D.显示器 3.磁表面存储器记录信息是利用磁性材料的( )。 A.磁滞回归线特性 B.磁场渗透特性 C.磁场分布特性 D.磁场吸引力特性 4.系统级的总线是用来连接( )。 A.CPU内部的运算器和寄存器 B.主机系统板上的所有部件 C.主机系统板上的各个芯片 D.系统中的各个功能模块或设备 5.在微程序控制中,把操作控制信号编成( )。 A.微指令 B.微地址 C.操作码 D.程序 6.从一条指令的启动到下一条指令的启动的间隔时间称为( )。 A.时钟周期 B.机器周期 C.工作周期 D.指令周期 7.假设寄存器R中的数为200,主存地址为200和300的存储单元中存放的内容分别是300和400,若访问到的操作数为200,则所采用的寻址方式为( )。 A.立即寻址#200 B.寄存器间接寻址(R) C.存储器间接寻址(200) D.直接寻址200 8.表示主存容量的常用单位为( )。 A.数据块数 B.字节数 C.扇区数 D.记录项数 9.已知一个8位寄存器的数值为11001011,将该寄存器逻辑左移一位后,结果为( )。 A.01100101 B.10010111 C.01100111 D.10010110 10.多位二进制加法器中每一位的进位传播信号P为( )。 A.X i+Y i B.X i Y i C.X i+Y i+C i D.X i⊕Y i⊕C i 11.存储器的随机访问方式是指( )。 A.可随意访问存储器 1

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

02318自考计算机组成原理(问答)总结讲解

1.简述主存与CACHE之间的映象方式。 【答案】主存与CACHE之间的映象方式有直接映象、全相联印象、组相联印象三种。直接映象是指主存储器中的每个块只能够映象到CACHE中唯一一个指定块的地址映象方式。全相联映象是指每个主存块都能够映象到任一CACHE块的地址映象方式。组相联印象是直接映象和全相联映象两种方式的结合,它将存储空间分成若干组,在组间采用直接映象方式,而在组内采用全相联印象方式。 2.简述存储器间接寻址方式的含义,说明其寻址过程。 【答案】含义:操作数的地址在主存储器中,其存储器地址在指令中给出。 寻址过程:从指令中取出存储器地址,根据这个地址从存储器中读出操作数的地址,再根据这个操作数的地址访问主存,读出操作数。 3.微程序控制器主要由哪几部分构成?它是如何产生控制信号的? 【答案】微程序控制器主要由控制存储器、微指令寄存器μIR、微地址寄存器μAR、地址转移逻辑等构成。 操作控制信号的产生:事先把操作控制信号以代码形式构成微指令,然后存放到控制存储器中,取出微指令时,其代码直接或译码产生操作控制信号。 4.简述提高总线速度的措施。 【答案】从物理层次:1增加总线宽度;2增加传输的数据长度;3缩短总线长度;4降低信号电平;5采用差分信号;6采用多条总线。从逻辑层次:1简化总线传输协议;2采用总线复用技术;3采用消息传输协议。 5.简述中断方式的接口控制器功能。 【答案】中断方式的接口控制器功能:①能向CPU发出中断请求信号;②能发出识别代码提供引导CPU在响应中断请求后转入相应服务程序的地址;③CPU要能够对中断请求进行允许或禁止的控制;④能使中断请求参加优先级排队。 6.CPU与DMA访问内存冲突的裁决的方法有哪些? 【答案】①CPU等待DMA的操作;②DMA乘存储器空闲时访问存储器;③CPU与DMA交替访问存储器。 08真题1.高速缓存Cache用来存放什么内容?设置它的主要目的是什么? (3分) 参考答案:Cache中存放当前活跃的程序和数据,作为主存活跃区的副本。(2分) 设置它的主要目的是解决CPU 与主存之间的速度匹配。(2分) 2.什么是堆栈?说明堆栈指针SP的作用。(3分) 参考答案:堆栈是一种按先进后出(或说成是后进先出)顺序进行存取的数据结构或存储区域。常在主存中划一小块连续单元区作为堆栈。(3分) 堆栈指针SP是用来保存最后进入堆栈的位置(栈顶)的寄存器。(1分) 3.简述微程序控制方式的基本思想。它有什么优点和缺点? (3分) 参考答案:(P132-134)微程序控制的基本思想可归纳为: (1)将微操作命令以微码形式编成微指令,并事先固化在控制存储器(ROM)中。(1分) (2)将一条机器指令的操作分解为若干微操作序列,用一段微程序对应地解释执行,微程序中每条微指令所包含的微命令控制实现一步操作。(1分) 优点:结构规整,有利于设计自动化;易于修改与扩展,灵活性、通用性强;适于作系列机的控制器,性能价格比较高;可靠性较高,易于诊断与维护。(1分) 缺点:速度相对较慢。(1分) 4.什么是中断?请说明它的特点和适用场合。(3分) 参考答案:中断是指在计算机的运行过程中,CPU接到更紧迫的服务请求而暂停执行现行程序,转去执行中断服务程序,以处理某些随机事态;并在处理完毕后自动恢复原程序的执行。(2分) 主要特点是具有随机性,通过执行程序来处理随机事件。(1分) 它适用于中低速I/O操作的管理,以及处理随机发生的复杂事件。(1分) 5.什么是串行总线?什么是并行总线?试比较它们的应用场合。(3分) 参考答案:串行总线采用一条数据线;并行总线采用多条线路并行地传输数据信号。(2分) 串行总线一般用于较长距离的较低速率的数据传输;并行总线一般用于较短距离的高速数据传输。(2分) 07真题1.半导体随机访问存储器芯片主要有哪两种类型?(5分) 参考答案:主要有静态存储器(SRAM)芯片和动态存储器(DRAM)芯片。 2.简述CISC和RISC的含义。(5分) 参考答案:CISC:复杂指令系统计算机,其指令条数较多,指令功能和结构复杂,进而机器结构复杂。(2分)RISC:精简指令系统计算机,其指令条数较少,指令结构和功能简单,进而机器结构简单,提高了机器的性能价格比。

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

[0013]计算机组成原理 答案

- 1 - 西南大学培训与继续教育学院课程考试试题卷 学期:2020年秋季 课程名称【编号】: 计算机组成原理 【0013】 A 卷 考试类别:大作业 满分:100 分 一、大作业题目 1. 已知:x= 0.1011,y = - 0.0101,求 :[ 21x]补,[ 41 x]补,[ - x ]补,[21y]补,[4 1 y]补,[ - y ]补 1. 解: [ x ]补du = 0.1011 , [ y ]补 = 1.1011 [1/2 x ]补 = 0.01011 , [1/2y]补 = 1.11011 [1/4 x ]补 = 0.001011 ,[ 1/4 y ]补 = 1.111011 [ - x ]补 = 1.0101 , [ - y ]补 =0.0101 2.指令有哪些寻址方式?设指令格式如下所示,其中OP 为操作码,试分析指令格式特点。 18 12 11 10 9 5 4 0 OP ---------- 源寄存器 目标寄存器 3.动态存储器为什么要刷新?刷新有哪些方法?完成由2K ×4位芯片构成4K ×8位存储器连接图。 动态MOS 存储单元存储信息的原理,是利用MOS 管栅极电容具有暂时存储信息的作用。但由于漏 电流的存在,栅极电容上存储的电荷不可能长久保持不变,因此为了及时补充漏掉的电荷,避免存储信息丢失,需要定时地给栅极电容补充电荷,通常把这种操作称作刷新或再生。 常用的刷新方式有三种,一种是集中式,另一种是分散式,第三种是异步式。 集中式刷新:在整个刷新间隔内,前一段时间重复进行读/写周期或维持周期,等到需要进行刷新操作时,便暂停读/写或维持周期,而逐行刷新整个存储器,它适用于高速存储器。 分散式刷新:把一个存储系统周期t c 分为两半,周期前半段时间t m 用来读/写操作或维持信息,周期后半段时间t r 作为刷新操作时间。这样,每经过128个系统周期时间,整个存储器便全部刷新一遍。 异步式刷新:前两种方式的结合 芯片数=总容量/容量=4k*8÷2k*4=4片。将每四块分为一组,形成32位的数据宽度,根据该储存容量大小一共需要16位地址线(可以根版据储存容量除以数据宽度来确定)。 将32K*8芯片组成128K*16的只读度器,所以首先位扩展将数据线8扩展到16,即D0~D15,然问后字 扩展32K 是15条地址线,128是17条地址线,所以要答用2/4译码器将地址线15扩展到17,需要用到的芯片是(128/32)*(16/8)=8,连接如图所示!红色为A0~A14的地址总线。 4. 今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,今假设完成各步操作的时间依次为100ns,100ns,80ns,50ns 。请问:(1)流水线的操作周期应设计为多少?(2)若相邻两条加减法指令发生数据相关(ADD :R1,R2,R3 ;R2+R3->R1与SUB :R4,R1,R5;R1-R5->R4),而且在硬件上不采取措施,那么第二条指令要推迟多少时间进行。(3)如果在硬件设计上加以改进,至少需推迟多少时间? 5.什么是中断?什么是多重中断?假定硬件原来的响应顺序为0→1→2,试设置中断屏蔽字,将中断优先级改为1→2→0。(注:中断允许用“0”表示,中断禁示“1”表示)。 二、大作业要求 大作业共需要完成三道题: 第1-2题选作一题,满分35分;

计算机组成原理全国月自考试题

全国2013年7月高等教育自学考试 计算机组成原理试题 课程代码:02318 一、单项选择题(本大题共10小题,每小题2分,共20分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其选出并将“答题纸” 的相应代码涂黑。未涂、错涂或多涂均无分。 1.若十进制数为120,则其对应的8位补码[X]补为 A.01111000 B.00001111 C.11111000 D.10001111 2.用3K×4位的存储芯片组成12KB存储器,需要这样的芯片 A.8片B.4片 C.3片 D.2片 3.下列存储器中,存取速度最快的存储器是 A.主存B.高速缓存 C.光盘D.硬盘 4.寄存器寻址是指 A.操作数在寄存器中B.操作数地址在寄存器中 C.操作数在存储器中D.操作数地址在存储器中 5.指令格式中的地址结构是指 A.地址段占多少位B.指令中采用几种寻址方式 C.指令中如何指明寻址方式D.指令中给出几个地址 6.下列寄存器中,汇编语言程序员可编程使用的是 A.存储器地址寄存器B.通用寄存器 C.存储器数据寄存器D.指令寄存器 7.微指令存放在 A.主存中 B.RAM中 C.ROM中D.硬盘中 8.总线从设备 A.不掌握总线控制权B.掌握总线控制权 C.只能发送信息D.只能接收信息 9.DMA方式是指输入输出接口控制 A.CPU与外设之间传输数据 B.CPU与内存之间传输数据 C.外设与外设之间传输数据D.内存与外设之间传输数据 10.不属于 ...输入输出设备的计算机部件是

A.显示器B.运算器 C.打印机D.键盘 二、名词解释题(本大题共6小题,每小题3分,共18分) 11.ALU 12.定点数 13.ROM 14.控制字 15.中断 16.CRT显示器 三、简答题(本大题共6小题,每小题5分,共30分) 17.在计算机硬件处理中采用什么计数制?为什么? 18.计算机指令中一般包含哪些字段? 19.计算机中有哪些类型的寄存器? 20.总线上有哪些信息传输方式? 21.CPU响应中断的步骤有哪些? 22.磁盘有哪些记录方式? 四、简单应用题(本大题共2小题,每小题10分,共20分) 23.用原码一位乘的乘法方法进行2×4的四位原码乘法运算,要求写出其运算过程。 24.写出单总线的CPU结构中,执行写存储器指令STORE R3,mem的过程(其中mem为内存地址值)。单总线CPU的结构示意图如下:

自考计算机组成原理 改错题

改错题 1. 运算器的功能就是执行加、减、乘、除四则运算。 2.已知x=0.1011,那么[-x]补为1.0101,[x/2]补为0.11011. 3.1KB=1000字节 4.两个定点数相减,不会产生溢出。 5.主存储器和CPU之间增加高速缓冲存储器的目的是扩大CPU中通用寄存器的数量。 6.在虚拟存储器中,当程序正在执行时,一般由装入程序完成地址映射。 7.在虚拟存储器中,常将存储空间按程序模块大小划分为若干页。 8.二地址指令中,操作数的物理位置可安排在两个主存单元。 9.在寄存器寻址方式中,指定寄存器中存放的是操作数地址。 10.位操作类指令的功能是对CPU内部通用寄存器或主存某一单元任一位进行状态检测或强置。 11.一条机器指令由一段微指令编成的维程序来解释执行。 12.指令周期、机器周期和工作脉冲构成三级时序系统。 13.减少控制存储器容量,是设计微程序控制器所追求的目标之一。 14.总线中地址线的功能是用于指定存储器单元和I/O设备接口电路的选择地址。 15.单总线结构系统是指:各大功能部件之间用一根信号线连接。 16.如果认为CPU等待设备的状态信号是处于非工作状态(既踏步等待),那么,程序查询方式的主机与设备是串行工作的。 17.在计算机系统中,除CPU外的其它部件和设备都称为外围设备。 18.磁盘的位价格就是单位数据容量的价格,等于磁盘设备的价格除以容量。 19.写入硬盘时,若一个文件的长度超出一个磁道的容量,则继续写入同面的相邻磁道中。 20.随机扫描方式画图速度快,图象清晰,但价格昂贵。 21.单级中断与多级中断的区别是单级中断的硬件结构是一维中断,而多级中断的硬件结构是二维中断。

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

2018年4月自考《计算机组成原理》真题(完整试卷)含参考答案

2018年4月自考《计算机组成原理》真题(完整试卷)含参考答案 选择题部分 一、单项选择题:本大题共10小题,每小题1分,共10分。在每小题列出的备选项中只有一项是最符合题 目要求的,请将其选出。 1.计算机中的U盘属于 A.运算器B.控制器 C.内存D.外存 2.下列软件中不属于系统软件的是 A.操作系统B.语言处理系统 C.文字处理软件D.数据库管理系统 3.IEEE754单精度(32位)浮点数格式中指数的偏移常数为 A.127 B.128 C.1023 D.1024 4.若十进制数为-l00,则其对应的8位补码[X]补为 A.01100100 B.11100lOO C.10011100 D.1001101l 5.采用寄存器直接寻址方式的操作数在 A.指令中B.通用寄存器中 C.内存中D.外存中 6.MIPS计算机的汇编指令“1w $s1,200($s2)”的功能是 A.$sl=Memory[$s2+200] B.$s2=Memory[$s1+200] C.$s1=Memory[$s2]+200 D.$s2=Memory[$s1]+200 7.微程序存放在 A.主存储器中B.控制存储器中 C.高速缓存中D.外存储器中 8.—个1 6MB的主存储器,按字节编址需要地址线 A.14条B.24条 C.34条D.44条 9.在计算机的存储器层次结构中,速度最陕的是 A.硬盘B.寄存器 C.高速缓存D.主存 10.在浮点数的乘法中,乘积的尾数规格化后,小数点左边两位是 A.00 8.01

C.10 D.11 非选择题部分 二、填空题:本大题共15空,每空1分,共15分。 11.MIPS指令流水线数据通路通常包括取指、译码、_____、_____和五段流水线。 12.Cache行和主存块之间的映射方式有_____、_____和_____三种。 13.磁盘的平均存取时间由_____、_____和_____三部分构成。 14.CPU的数据通路的时钟周期长度包括Clk—to-Q时间(也叫锁存延迟)、所有操作元件中最长操作延迟时间、_____和_____等四个部分。 15.I/O端口的编址方式有_____和_____两种方式。 16.在Intel处理器中将外部中断分为_____中断和_____中断两类。 三、名词解释题:本大题共5小题,每小题3分,共15分。 17.标志寄存器 18.总线宽度 19.随机存取存储器RAM 20.微地址 21.DMA控制器 四、简答题:本大题共4小题,每小题5分,共20分。 22.试说明在计算机系统的层次化结构中,最终用户、应用程序员、系统管理员和系统程序员分别与操作系统、汇编程序、编译程序和应用程序这四个程序的哪一个相对应? 23.汉字字符的编码有哪三类?简述各类编码的作用,并分别列举一个实际的编码。 24.在浮点数加减法中对阶的目的是什么?对阶原则是什么? 25.试比较变址寻址方式和基址寻址方式的异同。 五、计算题:本大题共3小题,第26、27小题各6分,第28小题4分,共16分。 26.某计算机的时钟周期为2.5ns,指令集中有A?D四种不同类型的指令,这四类指令的平均CPI分别为3、2、4、5。某高级语言程序P经两个不同版本的编译程序,编译成了两种不同的指令序列X1和X2,两个指令序列包含的指令情况如下表所示。 (1)该计算机的峰值MIPS是多少?

计算机组成原理大作业定稿版

计算机组成原理大作业 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

计算机组成原理 大作业 院(系):物联网工程学院 专业: 计算机科学与技术 班级: 学号: 姓名: 摘要 1.计算机硬件系统:到目前为止,计算机仍沿用由冯.诺依曼首先提出的基于总线的计算机硬件系统。其基本设计思想为: a.以二进制形式表示指令和数据。 b.程序和数据事先存放在存储器中,计算机在工作时能够高速地从存储器中取出指令加以执行。 c.由运算器、控制器、存储器、输入设备和输出设备等五大部件组成计算机硬件系统。 2.计算机软件系统:所谓软件,就是为了管理、维护计算机以及为完成用户的某种特定任务而编写的各种程序的总和。计算机的工作就是运行程序,通过逐条的从存储器中取

出程序中的指令并执行指令所规定的操作而实现某种特定的功能。微型计算机的软件包括系统软件和用户(应用)软件。 关键词:计算机系统硬件存储器控制器运算器软件 目录 摘要 (2) 第一章总体设计 (4) 问题描述 (4) 实验环境 (4) 软件介绍 (4) 模块介绍 (4) 实验目的 (5) 实验内容 (5) 第二章原理图 (6) 第三章管脚分配 (7) 第四章微程序设计 (8) 1. alu_74181 (8)

2. romc (9) 第一章总体设计 问题描述 从两个reg_74244中分别取出两数经过总线,各自分别到达两个寄存器reg_74373,再由两个寄存器到达运算器alu_74181,在运算器里经过运算得出结果,结果再由总线传输进入另外的一个寄存器reg_74373,输出。 实验环境 软件介绍 ISE的全称为Integrated Software Environment,即“集成软件环境”,是Xilinx公司的硬件设计工具。它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。ISE除了功能完整,使用方便外,它的设计性能也非常好,拿ISE 9.x来说,其设计性能比其他解决方案平均快30%,它集成的时序收敛流程整合了增强性物理综合优化,提供最佳的时钟布局、更好的封装和时序收敛映射,从而获得更高的设计性能。 模块介绍 微程序控制器 微程序控制器是一种控制器,同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被

2012年4月自考计算机组成原理试题及答案

全国2012年4月高等教育自学考试 计算机组成原理试题 课程代码:02318 一、单项选择题(本大题共15小题,每小题2分,共30分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 1.存储器的基本单位字节的长度是( B ) A.1bit B.8bit C.16bit D.32bit 2.定点小数是指( B ) A.小数点固定在最低位数的后面B.小数点固定在最高位数的后面 C.小数点的位置可以随意指定D.没有小数的数 3.-1的8位补码表示是( D ) A.00000001 B.10000001 C.10000000 D.11111111 4.二进制数01101011对应的十进制数为( B ) A.100 B.107 C.117 D.127 5.下列采用偶校验的8位奇偶校验编码中正确的是( D ) A.10111010 B.11110010 C.01100111 D.00000000 6.下列存储器中不是 ..半导体存储器的是( B ) A.静态存储器B.动态存储器 C.U盘D.光盘

7.容量为4KB的存储器的最少地址位数为( B ) A.11 B.12 C.13 D.14 8.下列指令助记符中表示求补操作的是( D ) A.ADD B.COM C.AND D.NEG 9.下列寻址方式中出现在指令“ADD R2, (R1)”中的是( C )A.隐含寻址B.存储器间接寻址 C.寄存器间接寻址D.直接寻址 10.下列寄存器中用于与存储器之间传送数据的是( A )A.MDR B.MAR C.PC D.SP 11.下列说法不正确 ...的是( C ) A.硬连线控制器比微程序控制器设计复杂 B.硬连线控制器不便于实现复杂指令的控制 C.微程序控制器比硬连线控制器速度要快 D.微程序控制器将指令执行所需要的控制信号存放在存储器中12.UART接口属于( B ) A.同步串行传送总线B.异步串行传送总线 C.同步并行传送总线D.异步并行传送总线 13.一个字长为16位的并行总线包含有几条数据线?( B )A.8条B.16条 C.32条D.64条

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

计算机组成原理课程设计

计算机组成原理课程设 计 WTD standardization office【WTD 5AB- WTDK 08- WTD 2C】

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部):计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩: 湖南工业大计算机学院 目录 摘要 交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流

量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 19xx年,又出现了带控制的红绿灯和红外线红绿灯。带控制的 4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉

自考计算机组成原理问答总结

自考计算机组成原理问答总结

1.简述主存与CACHE之间的映象方式。 【答案】主存与CACHE之间的映象方式有直接映象、全相联印象、组相联印象三种。直接映象是指主存储器中的每个块只能够映象到CACHE中唯一一个指定块的地址映象方式。全相联映象是指每个主存块都能够映象到任一CACHE块的地址映象方式。组相联印象是直接映象和全相联映象两种方式的结合,它将存储空间分成若干组,在组间采用直接映象方式,而在组内采用全相联印象方式。2.简述存储器间接寻址方式的含义,说明其寻址过程。【答案】含义:操作数的地址在主存储器中,其存储器地址在指令中给出。 寻址过程:从指令中取出存储器地址,根据这个地址从存储器中读出操作数的地址,再根据这个操作数的地址访问主存,读出操作数。 3.微程序控制器主要由哪几部分构成?它是如何产生控制信号的? 【答案】微程序控制器主要由控制存储器、微指令寄存器μIR、微地址寄存器μAR、地址转移逻辑等构成。 操作控制信号的产生:事先把操作控制信号以代码形式构成微指令,然后存放到控制存储器中,取出微指令时,其代码直接或译码产生操作控制信号。 4.简述提高总线速度的措施。 【答案】从物理层次:1增加总线宽度;2增加传输的数据

长度;3缩短总线长度;4降低信号电平;5采用差分信号;6采用多条总线。从逻辑层次:1简化总线传输协议;2采用总线复用技术;3采用消息传输协议。 5.简述中断方式的接口控制器功能。 【答案】中断方式的接口控制器功能:①能向CPU发出中断请求信号;②能发出识别代码提供引导CPU在响应中断请求后转入相应服务程序的地址;③CPU要能够对中断请求进行允许或禁止的控制;④能使中断请求参加优先级排队。 6.CPU与DMA访问内存冲突的裁决的方法有哪些? 【答案】①CPU等待DMA的操作;②DMA乘存储器空闲时访问存储器;③CPU与DMA交替访问存储器。 08真题1.高速缓存Cache用来存放什么内容?设置它的主要目的是什么? (3分) 参考答案:Cache中存放当前活跃的程序和数据,作为主存活跃区的副本。(2分) 设置它的主要目的是解决CPU与主存之间的速度匹配。(2分) 2.什么是堆栈?说明堆栈指针SP的作用。(3分) 参考答案:堆栈是一种按先进后出(或说成是后进先出)顺序进行存取的数据结构或存储区域。常在主存中划一小块连续单元区作为堆栈。(3分) 堆栈指针SP是用来保存最后进入堆栈的位置(栈顶)的寄存器。(1分) 3.简述微程序控制方式的基本思想。它有什么优点和缺点?

2018年自考《计算机组成原理》试题

2018年自考《计算机组成原理》试题 一、填空题(每空1分,共15分) 1、第一代电子数字计算机所用的基本器件是。 2、固件是一种特性的硬件。 3、设x=-(13/16),[X]补= 。 4、在浮点补码加减法运算中,当运算结果的尾数出现___________和____________时,需进行向左规格化操作。 5、磁盘存储器中,可寻址的最小单位是______________。 6、按其读写性,我们可将半导体存储器分为两种。 7、操作表达式为(Ad1)OP(Ad2)→Ad1的指令,称为_________地址指令。 8、指令译码的主要功能是对_______________进行译码。 9、PSW用于存放程序运行时的工作方式、____________和___________。 10、在用分段直接编码法组合成的微指令中,应将具有___________性的微命令分在不同字段内。 11、键盘是一种________设备。 12、采用中断屏蔽码技术,可以改变各设备的_______________。 13、中断过程实质上是一种程序的____________过程。 14、设X=—51/64,当字长为7位(含一位符号位)时,[X]原=___________,[X]补=________。 15、运算器的基本组成中,核心部件是_____________。 16、在在计算机中,各部件间往来的信息分为三种类型,除数据信息

外,它们是______和______ 信息。 17、在浮点补码加减运算中,当运算结果的尾数出现______和______ 形式时,需要进行向右规格化操作。 18、一般来说,机器指令包括两个部分内容,它们是________和__________。 19、总线的通讯方式有______________和__________两种。 20、按信息传送的格式,接口可分为______________接口和_________ 接口两大类。 21、Cache存贮器中采用的主要替换算法有__________________和______________ 。 22、任何进位计数制都包含基数和位权两个基本要素。十六进制的基数为,其中第i位的权为。 23、8421BCD码中,十进制数字“5”的BCD码的前面加上奇校验位后,为。 24、设字长8位(含1位符号位),真值X=-1011,则[X]原= 。 25、有静态RAM与动态RAM可供选择,在构成大容量主存时,一般就选择。 26主存储器进行两次连续、独立的操作(读/写)之间所需的时间称作。 27、程序访问的为Cache的引入提供了理论依据。 28、某机器指令系统中,指令的操作码为8位,则该指令系统最多可以有种指令。

相关文档
相关文档 最新文档