文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术练习题A带答案

数字电子技术练习题A带答案

数字电子技术练习题A带答案
数字电子技术练习题A带答案

数字电子技术练习题A

一、填空题

1.若要设计一个脉冲序列为1101001110的序列脉冲发生,应选用

个触发器

2.一个4选1个数据输入端。

3.电路。

4. 用555定时器构成的施密特触发器,电源电压为15V ,控制端通过电容接地,则回差电压为。

5. )('CD B B A Y +=则其对偶式D Y 为()。

二、单项选择题

1.

A.C Y =C

2. 下列关于异或运算的式子中,不正确的是

A.A ⊕ C.A ⊕0=A D.A ⊕1='A

3. 下列门电路属于双极型的是

B.PMOS

C.NMOS

D.CMOS

4. 下列电路中,不属于组合逻辑电路的是 。

A.译码器

B.全加器编码器

5. 如图所示的电路,输出F 的状态是

6.单稳态触发器可作( )

A 、产生正弦波、构成D 触发器 D 、构成JK 触发器

7.是8421BCD 码的是( )。

A 、、1100 D 、1101

8.欲对全班43个学生以二进制代码编码表示,最少需要二进制码的位数是(

A 、、8 D 、43

三、化简题

1.CDEGH ACFG BD C A AB AD AD F ++++++=''

2.()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F

3.∑=

)130,3,5,6,8,()D ,C ,B ,A (m F ,无关最小项为∑)10,4,1(d ;

解:D C B B D B D +++=D A A C B F

∑=0)10,4,1(d

四、画图题

1. 试用二进制加法计数器74LS161芯片设计一个完整的19进制计数器。用LD 端设计。并画出逻辑电路图。

74161功能表

注:Q 3为最高位,Q 0为最低位

2. D 触发器各输入端的波形如图所示,试画出Q 端对应的电压波形。

74161(1) 74161(2)

&

五、分析题

根据555的功能表对下图所示电路进行分析。

问:

1、该电路是由555定时器构成的什么电路?

2、在右图上标出Vc及Vo的波形,并标出输出脉冲宽度tw位置和Vc达到最大值时的数值.

3、tw和哪些元器件取值有关

555集成电路功能表

六、计算题

1.两片555定时器组成的电路如图所示。

(1) 在图示元件参数条件下,估算v O1和v O2端的振荡周期T 各为多少?

(3) 若在555芯片的控制输入(5脚)端改接+4V 的V R ,对电路的参量有何影响?

2.

图示电路为发光二极管驱动电路,其中

OC 门的输出低电平V OL =0.3V ,输出低电平时的最大负载电流I OL =12mA ,发光二极管的导通电压V D =1.5V ,发光时其电流10mA ≤I D ≤15mA 。试问:

(1)如图所示两电路中,发光二极管各在什么情况下发光?

(2)电阻R 1、R 2的取值范围。

(1)a 图在OC 门输出高电平时发亮;b 图在OC 门输出低电平时发亮。

(2)10

5.15R 155.151-≤≤- 即: 230Ω≤R 1≤350Ω

10

0.3-5.15R 120.3-5.152-≤≤- 即: 270Ω≤R 2≤320Ω

七、设计题

1.设计一个四变量的多数表决电路,当输入变量A 、B 、C 、D 有3个或3个以上输出为1,输入为其他状态是输出为0。要求真值表;(2)写出表达式;(3)用八选一数据选择器实现。

解:

(2)表达式:''''Y A BCD AB CD ABC D ABCD ABCD =++

++

(3)用八选一数据选择器实现:

2某汽车驾驶员培训班结业考试,有三名评判员,其中A 为主评判员,B 、C 为副评判员,评判时,按照少数服从多数原则,但若主评判员认为合格也可以通过。试用74LS138和与非门实现此功能的逻辑电路.

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

数电期末模拟题及答案

《数字电子技术》模拟题一 一、单项选择题(2×10分) 1.下列等式成立的是( ) A 、 A ⊕1=A B 、 A ⊙0=A C 、A+AB=A D 、A+AB=B 2.函数F=(A+B+C+D)(A+B+C+D)(A+C+D)的标准与或表达式是( ) A 、F=∑m(1,3,4,7,12) B 、F=∑m(0,4,7,12) C 、F=∑m(0,4,7,5,6,8,9,10,12,13,14,15) D 、F=∑m(1,2,3,5,6,8,9,10,11,13,14,15) 3.属于时序逻辑电路的是( )。 A 、寄存器 B 、ROM C 、加法器 D 、编码器 4.同步时序电路和异步时序电路比较,其差异在于后者( ) A 、没有触发器 B 、没有统一的时钟脉冲控制 C 、没有稳定状态 D 、输出只与内部状态有关,与输入无关 5.将容量为256×4的RAM 扩展成1K ×8的RAM ,需( )片256×4的RAM 。 A 、 16 B 、2 C 、4 D 、8 6.在下图所示电路中,能完成01=+n Q 逻辑功能的电路有( ) 。 A 、 B 、 C 、 D 、 7.函数F=A C+AB+B C ,无冒险的组合为( )。 A 、 B=C=1 B 、 A=0,B=0 C 、 A=1,C=0 D 、 B=C=O 8.存储器RAM 在运行时具有( )。 A 、读功能 B 、写功能 C 、读/写功能 D 、 无读/写功能 9.触发器的状态转换图如下,则它是: ( ) A 、T 触发器 B 、RS 触发器 C 、JK 触发器 D 、D 触发器 10.将三角波变换为矩形波,需选用 ( ) A 、多谐振荡器 B 、施密特触发器 C 、双稳态触发器 D 、单稳态触发器 二、判断题(1×10分) ( )1、在二进制与十六进制的转换中,有下列关系: (1001110111110001)B =(9DF1)H ( )2、8421码和8421BCD 码都是四位二进制代码。 ( )3、二进制数1001和二进制代码1001都表示十进制数9。 ( )4、TTL 与非门输入采用多发射极三极管,其目的是提高电路的开关速度。 ( )5、OC 与非门的输出端可以并联运行,实现“线与”关系,即L=L 1+L 2 ( )6、CMOS 门电路中输入端悬空作逻辑0使用。 ( )7、数字电路中最基本的运算电路是加法器。 ( )8、要改变触发器的状态,必须有CP 脉冲的配合。

数字电子技术基础习题及答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.100001100001是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工 作波形,并求出振荡频率。(15)

数字电子技术模拟试题4

泰山学院课程考试专用 《数字电子技术》模拟试题 4 (试卷共8页,答题时间120分钟) 一、填空题(每空 1分,共 20 分。) 1、(33)10=( )16=( )2 2、若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为_________,或非门的输出为___ ___,同或门的输出为__ __。 3、一个数字信号只有 种取值,分别表示为 和 。 4、一个三态门如图1.4, 当E ′=__________时,Y=)('AB 。 5、某EPROM 有8位数据线、13位地址线,则其存储容量为 位。 6、若要构成七进制计数器,最少用 个触发器,它有 个无效状态。 7、多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 8、A/D 转换的一般步骤包括 、 、 和 。 9、欲将触发器置为“1”态,应使D R '= , D S '= 。 二、选择题(每题 2分,共 20 分。请将答案填在下面的表格内)1、在不影响逻辑功能的情况下,CMOS 与非门的多余输入端可_______。 A.接高电平 B.接低电平 C.悬空 D.通过大电阻接地 2、下图中,满足Q * =1 的触发器是_____________。

3、由四个触发器构成十进制计数器,其无效状态有__________。 A.四个 B.五个 C.六个 D.十个 4、以下电路中,欲获得一个数字系统的时钟脉冲源,应采用____________。 A .D 触发器 B.多谐振荡器 C.单稳态触发器 D.施密特触发器 5、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 6、用555定时器构成的施密特触发器的回差电压可表示为 。 A. cc V 3 1 https://www.wendangku.net/doc/3a6923286.html, V 3 2 C. V cc D. cc V 4 3 7、在下列门电路中,输出端不可以并联使用的是 。 A. 三态门 B.集电极开路门(OC 门) C.具有推挽输出结构的TTL 门电路 D.CMOS 传输门 8、某A/D 转换器有8路模拟信号输入,若8路正弦输入信号的频率分别为1KHz ,…,8KHz ,则该A/D 转换器的采样频率f s 的取值应为 。 A. f s ≤1KHz B. f s =8KHz C. f s ≥16KHz D. f s ≥2KHz 9、四位环形计数器的有效状态有 个。 A. 2 B. 4 C. 6 D. 8 10、下列电路中不属于时序逻辑电路的是 。 A.计数器 B. 全加器 C.寄存器 D.分频器 1、Y 1=A )('BC +AB C ' 2、Y 2(A ,B ,C ,D )=∑m (1,3,5,7,8,9)+∑d(11,12,13,15)四、1、电路如图4.1(a)所示,各电路的CP 、A 、B 、C 波形如图(b )所示。

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术 期末考试试题

数字电子技术期末考试试题 期末考试试题 课程名称《数字电子技术》适用专业自动化、测控考试时间 ( 120 )分钟 一、填空题(22分每空2分) A,0,A,1,1、 , 。 2、JK触发器的特性方程为: 。 3、单稳态触发器中,两个状态一个为态,另一个为态.多谐振荡器两个状态都为 态,施密特触发器两个状态都为态. 4、组合逻辑电路的输出仅仅只与该时刻的有关,而与无 关。 5、某数/模转换器的输入为8位二进制数字信号(~D),输出为D0~25.5V的模拟电压。若数字信70号的最低位是“1”其余各位是“0”,则输出的模拟电压为。 6、一个四选一数据选择器,其地址输入端有个。二、化简题(15分每小题5分) 用卡诺图化简逻辑函数,必须在卡诺图上画出卡诺圈 1) Y(A,B,C,D)=?m(0,1,2,3,4,5,6,7,13,15) 2)L(A,B,C,D),m(0,13,14,15),d(1,2,3,9,10,11) ,, 利用代数法化简逻辑函数,必须写出化简过程 __________________________________________________ 3) F(A,B,C),AB,ABC,A(B,AB) 三、画图题(10分每题5分) 据输入波形画输出波形或状态端波形(触发器的初始状态为0). 1、

2、 四、分析题(17分) 1、分析下图,并写出输出逻辑关系表达式,要有分析过程(6分) 2、电路如图所示,分析该电路,画出完全的时序图,并说明电路的逻辑功能,要有分析过程(11分) 五、设计题(28分) 1、用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一台不 正常;黄灯亮表示两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,要求用 74LS138和适当的与非门实现此电路(20分)

数字电子技术习题附答案

一、填空题。 1 .基本的逻辑门电路有与,或,非。 2.基本逻辑运算有_与_、或、非3种。 3.描述逻辑函数各个变量取值组合与函数值对应关系的表格叫真值表。 4.十进制数72用二进制数表示为 1001000 ,用8421BCD码表示为 01110010 。二进制数111101用十进制数表示为 61 5.数制转换:(8F)16 = ( 143 )10= ( 10001111 )2 = ( 217 )8;(3EC)H = ( 1004 )D; (2003) D = (11111010011)B = ( 3723)O。 6.有一数码10010011,作为自然二进制数时,它相当于十进制数147,作为8421BCD码时,它相当于十进制数93 。 7.(35.75)10=( 100011.11 )2 = ( 00110101.01110101 )8421BCD 。 8.在8421BCD码中,用 4 位二进制数表示一位十进制数。 9.在逻辑运算中,1+1= 1 ;十进制运算中1+1= 2 ;二进制运算中1+1= 10 。 10、表示逻辑函数功能的常用方法有逻辑表达式、逻辑真值表、卡诺图等。 11.将2004个“1”异或得到的结果是(0)。 12.TTL门电路中,输出端能并联使用的有__OC门__和三态门。 13. 在TTL与非门电路的一个输入端与地之间接一个10KΩ电阻,则相当于在该输入端输入高电平。14.TTL与非门多余输入端的处理方法通常有接至正电源,接至固定高电平,接至使用端。 https://www.wendangku.net/doc/3a6923286.html,逻辑门是单极型门电路,而TTL逻辑门是双极型门电路。 16.与TTL电路相比,COM电路具有功耗低、抗干扰能力强、便于大规模集成等优点。 17.TTL门电路的电源电压一般为 5 V,CMOS电路的电源电压为3—18 V 。 18.OC门的输出端可并联使用,实现线与功能;三态门可用来实现数据的双向传递、总线结构等。19.三态门输出的三态为1、0、高阻态。 20.为使F=A ,则B应为何值(高电平或低电平)? 1 0 1 21.指出图中各TTL门电路的输出是什么状态(高电平、低电平、高阻)? Y1=0 Y2=1 Y3=高阻态Y4=1 22.若上题图中各电路为CMOS门电路,请问各门电路的输出是什么状态? Y1=1 Y2=1 Y3=高阻态Y4=1 23.函数Y=AB+AC的最小项表达式为(5,6,7) m ∑。 24. 如果对键盘上108个符号进行二进制编码,则至少要7位二进制数码。 注意:红色字体部 分为简略参考答 案,解题过程不全 面、不详细。

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术期末试题库

【数字电子技术】【试题库】 一、填空题 1.电子电路中的信号可分为两大类,即模拟信号和。 2.数字信号是时间上和上都不连续的信号。 3.十进制数176转换成二进制数为。 4.二进制数11010011转换成十进制数为。 5.所谓二-十进制编码,就是用若干位二进制码元按一定的规律排列起来表示十进制数的过程,也称为码。 6.目前,国际最通用的处理字母、专用符号和文字的二进制代码就是美国标准信息交换码,即码。 ?+?=。 7.二进制数的逻辑运算0111 8. 二进制数的逻辑运算11=。 ⊕=。 9. 二进制数的逻辑运算11 +?=。 10.利用逻辑代数公式,对右式进行化简,A A B ++?=。 11.利用逻辑代数公式,对右式进行化简,A B A B 12.逻辑代数的三条重要规则分别是代入规则、反演规则和。 13.由n个逻辑变量组成的不同最小项个数为个。 14.由n个变量组成的“与或”逻辑表达式,若其中每一项均是关于n个逻辑变量的最小项,则称这一表达式为。 15.利用卡诺图求解最简逻辑表达式时,需要画方格圈,其中有三条要求:将2n个值为1的方格划为一个方格圈,方格圈的数量应(越少/越多)越好,方格圈所含的方格数应(越少/越多)越好。 16.三极管作为开关元件,通常工作在截止区和。 17.集成门电路主要有TTL门电路和。 18.三态门电路的输出有高电平、低电平和共3种状态。 19.TTL集成门电路是由半导体构成的,由于它工作速度快,带负载和抗干扰能力强,因而在数字电路中应该广泛。 20.根据逻辑功能的不同特点,数字逻辑电路可以分为两大类:组合逻辑电路和。 21.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现干扰脉冲,从而导致逻辑电路产生错误输出的现象,称为。 22.触发器有两种稳定状态,即0状态和。 23.RS触发器由两个门电路首尾相连构成。 24.为了避免基本RS触发器输出存在不确定的情况,对其输入端设置了相应的约束条件是。

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷) 第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10 (3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101

二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电子技术模拟试题及答案

数字电子技术模拟试题及 答案 Prepared on 24 November 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码 器

5、有S1,S2两个状态,条件(15)可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同 三、简答题(共10分) 1、证明:B A+ = +(4分) A A B 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=;CP0=。 2)列出其驱动方程:(4分) J1=;K1=;J0=;K0=。 3)列出其输出方程:(1分) Z=

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电路模拟题

题型分布:填空题2*9=18、选择题3*4=12、逻辑函数化简6+7+7=20、画波形10、分析与设计15+25=40 一、填空题 1、与非门的逻辑功能为。 2、数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3、三态门的“三态”指,和。 4、逻辑代数的三个重要规则是、、。 5、为了实现高的频率稳定度,常采用振荡器;单稳态触发器 受到外触发时进入态 6、计数器按增减趋势分有、和计数器。 7、一个触发器可以存放位二进制数。 8、优先编码器的编码输出为码,如编码输出A 2A 1 A =011,可知对输入的进 行编码。 9、逻辑函数的四种表示方法是、、、。 10、移位寄存器的移位方式有,和。 11、同步RS触发器中,R,S为电平有效,基本RS触发器中R,S为 电平有效。 12、常见的脉冲产生电路有 13、触发器有个稳态,存储8位二进制信息要个触发器。 14、常见的脉冲产生电路有,常见的脉冲整形电路 有、。 15、数字电路按照是否有记忆功能通常可分为两 类:、。 16、寄存器按照功能不同可分为两类:寄存器和寄 存器。 17、逻辑函数F== 18、触发器有两个互补的输出端Q、,定义触发器的1状态 为,0状态为,可见触发器的状态指的是端的状态。 19、一个触发器可以记忆位二进制代码,四个触发器可以记忆位二进 制代码。 20、主从JK触发器的特性方程。 21、时序逻辑电路按照其触发器是否有统一的时钟控制分为时 序电路和时序电路。 22、为了实现高的频率稳定度,常采用振荡器;单稳态触 发器受到外触发时进入态。 23、触发器有个稳态,存储8位二进制信息要个触发器。 24、逻辑函数的化简有,两种方法。 25、组合逻辑电路没有功能。 26、主从JK触发器的特性方程,D触发器的特性方

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

《数字电子技术》期末考试题及答案(经典)

xxx~xxx学年第x学期 《数字电子技术》期末复习题 第一部分题目 一、判断题(每题2分,共30分。描述正确的在题号前的括号中打“√”,错误的打“×”)【】1、二进制有0 ~ 9十个数码,进位关系为逢十进一。 【】2、(325)8 >(225)10 【】3、十进制数整数转换为二进制数的方法是采用“除2取余法”。 【】4、在二进制与十六进制的转换中,有下列关系:(100111010001)2=(9D1)16 【】5、8421 BCD码是唯一能表示十进制数的编码。 【】6、十进制数85的8421 BCD码是101101。 【】7、格雷码为无权码,8421 BCD为有权码。 【】8、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 【】9、逻辑变量的取值,1比0大。 【】10、在逻辑代数中,逻辑变量和函数均只有0和1两个取值,且不表示数量的大小。【】11、逻辑运算1+1=1 【】12、逻辑运算A+1+0=A 【】13、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 【】14、在时间和幅度上均不连续的信号是数字信号,所以语音信号是数字信号。 【】15、逻辑函数的运算次序为:先算括号内,后算括号外;先求与,再求或,最后求非。【】16、AB A C BC AB A C ++=+ 【】17、逻辑函数表达式的化简结果是唯一的。 【】18、逻辑真值表、逻辑表达式、逻辑图均是逻辑关系的描述方法。 【】19、n个变量组成的最小项总数是2n个。 【】20、逻辑函数的化简方法主要有代数化简法和卡诺图化简法。 【】21、逻辑函数化简过程中的无关项一律按取值为0处理。 【】22、数字电路中晶体管工作在开关状态,即不是工作在饱和区,就是工作在截止区。【】23、TTL或非门的多余输入端可以接高电平。 【】24、某一门电路有三个输入端A、B、C,当输入A、B、C不全为“1”时,输出Y为“0”,输入A、B、C全为高电平“1”时,输出Y为“1”,此门电路是或门电路。【】25、将三输入与非门中的两个输入端都接高电平,就可以实现非门功能。 【】26、基本的逻辑关系有与、或、非三种,其实现单元电路分别为与非门和或非门两种。【】27、CMOS门电路的输入电流大于TTL门电路的输入电流。 【】28、组合逻辑电路的基本组成单元是门电路。 【】29、组合电路没有记忆功能。 【】30、组合电路是一种具有记忆功能的逻辑电路。

数字电子技术模拟试题4套

模拟试题一 一、选择填空(每空1分,共20分) 1.纯净的半导体叫()。掺入3价杂质元素形成的半导体叫(),它主要靠导电()。 A.空穴B.本征半导体C.P型半导体D.自由电子 2.PN结正偏时,多子的()运动较强,PN结变薄,结电阻较()。 A.扩散B.漂移C.小D.大 3.三极管有()和()两种载流子参与导电,故称作()极型晶体管;而场效应管称作()极型晶体管。 A.双极B.空穴C.单极D.自由电子 4.负反馈放大电路的含义是()。 A.输出与输入之间有信号通路 B.电路中存在反向传输的信号通路 C.除放大电路之外还有信号通路 D.电路中存在使输入信号削弱的反向传输信号 5.一个放大电路的对数频率特性的水平部分为40dB,当信号频率恰好是上限频率时,实际电压增益为()。 A.43dB B.40dB C.37dB D.3dB 6.通常在下面基本组态放大电路中,输入电阻最大的是();输出电阻最小的是();高频特性最好的电路是()。 A.共射电路B.共集电路C.共基电路D.共源电路 7.集成放大电路采用直接耦合方式的原因是()。 A.便于设计B.放大交流信号C.不易制作大容量电容 8.功率放大电路互补输出级采用共集形式是为了使()。 A.电压放大倍数大B.不失真输出电压大C.带负载能力强 9.欲得到电流-电压转换电路,应在放大电路中引入();欲将电压信号转换成与之成比例的电流信号,应在放大电路中引入()。A.电压串联负反馈B.电压并联负反馈C.电流串联负反馈D.电流并联负反馈 10.为了避免50Hz电网电压的干扰进入放大器,应选用()滤波电路。 A.带阻B.带通C.低通D.有源 11.直流稳压电源的基本组成有变压器、整流、()、稳压。 A.比较B.滤波C.调整 二、判断正误(每题2分,共10分) 1.因为N型半导体的多子是自由电子,所以它带负电。() 2.电路只要满足,就一定会产生正弦波振荡。() 3.放大电路必须加上合适的直流电源才能正常工作。() 4.若放大电路的放大倍数为负,则引入的反馈一定是负反馈。() 5.功率放大电路的最大输出功率是指在基本不失真情况下,负载上可能获得的最大交流功率。() 三、简答题 1.设图3-1中二极管、为理想二极管,判断它们是导通还是截止?输出电压= ?(4分) 2.测得放大电路中晶体管的直流电位如图3-2所示。在圆圈中画出管子,并说明是硅管还是锗管。 四、(6分)根据图4某共射单放电路中三极管的输出特性曲线及交、直流负载线,试求:(1)静态Q点;(2)三极管电流放大系数β;(3)集电极电阻;(4)最大不失真输出电压幅度。

数字电子技术期末考试试题含答案

《数字电子技术》考试试卷(第一套)课程号2904025035 考试时间100 分钟 (注:集成电路CD4532、74HC138、74HC151的功能见附表) 一、填空题(共28分) 1、(2分)(5E.8)H=(94.5 )D=(10010100.0101 )8421BCD。 2、(2分)逻辑函数L = + A+ B+ C +D = (1 )。 3、(2分)由传输门构成的电路如下图所示,当A=0时,输出L= B 。 4、(2分)三态门可能输出的三种状态是低电平、高电平和高阻态_。 5、(3分)A/D转换器一般要经过_ 采样__、保持、量化和__编码__这4个步骤,A/D转换器的转换速度主要取决于转换类型。对双积分型A/D 转换器、并行比较型A/D转换器和逐次比较型A/D转换器的相对速度进行比较,转换速度最快的是_并行比较型A/D转换器__。 适用专业年级(方向):应用物理、电信科技2010级 考试方式及要求:闭卷笔试

6、(2分)集成优先编码器CD4532(功能表见后)正常接电源和地,且待编码信号输入端I 0 =I 2 = I 6 = I 7 = 1,输入使能端EI=1,其余输入端为0,其输出Y 2Y 1Y 0为 111 。 7、(3分)集成数据选择器74HC151构成的电路如下图所示,则其输出 Y= ABC BC A C B A ++?? 。(注:不需化简) 01 8、(3分)某PLA 电路如下图所示,其输出逻辑函数表达式X= C B A C B A ABC +?+ 。 9、(2分)某单极性输出的8位D/A 转换器正常工作,当输入数字量为 (10101010)B 时,其输出电压为3.4V ,当输入数字量为(10101100) B 时,其输出电压为 3.44 V 。 10、(2分)一个存储容量为4K ×4的存储器有 214 个存储单元,若用该存储器构成32K ×8的存储系统,则需 16 片4K ×4的存储器。 11、(3分)对于JK 触发器,当1J K ==时,n+1Q = 1 ,当1 J K ==

相关文档
相关文档 最新文档