文档库 最新最全的文档下载
当前位置:文档库 › 1V供电的低噪声带隙基准电压源

1V供电的低噪声带隙基准电压源

1V供电的低噪声带隙基准电压源
1V供电的低噪声带隙基准电压源

1V供电的低噪声带隙基准电压源(节选)

Keith Sanborn IEEE成员

Dongsheng Ma IEEE成员

Vadim Ivanov IEEE成员

摘要:本文将会提出一种新的工作电压1V以内的带隙基准电压源,和以前的设计相比,它具有更低的输出噪声,同时对不同的制造过程有着更好的兼容性。这项技术将通过使用反向带隙电压原理(RBVP),使带隙基准工作在1V的低压下。与此同时,在不使用外置滤波器的情况下输出噪声也控制在很低水平。虽然设计时采用的是0.5um的BiCMOS工艺,但是它能与绝大多数的CMOS和BiCMOS工艺很好地兼容。在所有的测试模块和空闲模块中,一个完整的晶圆面积大约是0.4mm2。

理论分析和实验结果都显示,在20uA的偏置电流下工作时,输出噪声的频率密度为40nV/Hz。此外,在0.1—10Hz频带下的峰到峰输出噪声仅为4uV。室温下,未经调整的电压基准的平均输出为190.9mV,在-40℃到125℃之间的平均温度系数在11ppm/℃附近,误差不超过5ppm/℃。

关键词:BiCMOS 低噪声低压噪声测量峰到峰噪声

亚1V带隙基准电压温度系数1V供电

正文:

一、介绍

电压基准在模拟电路或者数模混合电路(例如数据整流器和电压调节器)是一个关键性的模块。下面是一个理想电压基准的一些关键要求:

(1)输出电压与温度无关;

(2)输出电压与输入无关;

(3)可以在一个较宽的输入电压范围内正常工作;

(4)输出电压易被测量。

一个典型的可以满足上述要求的基准就是带隙基准。据作者所知,这种基准最早于20

世纪70年代初在National Semiconductor 杂志中出现,是由Widlar 在研究LM109 5-V 输出电压

调节器时提出的。通过改进,基准的输出电压能被调整到10V 和2.5V 。在这两个方案中,我

们都是通过把一个与绝对温度互补的电压(CTA T )和一个与绝对温度成正比的电压(PTA T )

相加,产生一个与温度系数一阶线性相关的电压,并作为带隙电路的输出电压。

这个与温度系数一阶线性相关电压REF V 的产生,可以用表一中所示的带隙基准来解释,这

个电路的输出电压为

V V N R R In R R V V T BE REF 2.112321≈??

? ????? ??+= (1)

图一. 采用双极性NPN 管的带隙基准电压源

这里的N 是2Q 管和1Q 管发射极面积的比值。(1)式中的REF V 和T V 分别是CTAT 和

PTAT 电压。电路中各个电阻的阻值和上述N 的数值应该被恰当得选择,使得CTAT 和PTAT

电压对温度的影响恰好能够相互抵消。当温度影响被抵消之后,(1)式中REF V 的值将约等

于0开尔文时候硅的频带间隙电压1.2V 。

尽管上述带隙基准能够满足之前所提到的所有典型要求,它仍然在最小电源电压方面有

个缺点。假设表一中放大器的最大输出过驱动电压为200mV ,那么此带隙基准所需要的最小

电源电压为

V V V MAX V sat DS REF DD 4.1)(,min ,≈+≥ (2)

随着新制造工艺下最小特征尺寸的不断减小,在这些工艺下工作的电路元件所需要的电

源功率也必须要相应减小,这样才能满足更小的击穿电压需求。因此,可以在1.4V 电源电压

以内工作的电压基准有着非常广泛的需求。

进来,一种新的可以解决低压供电问题的电压基准在一些文献中被提出。这些基准通过

产生一个与温度无关的电流,并使它的镜像通过一个电阻,以此产生出一个低于1V 的输出

电压。这些电路不仅可以再在1V 的供电下正常工作,而且在BiCMOS 和CMOS 工艺下都可以

实现。

但是由于电路拓扑结构中MOS 电流镜的存在,这种基准在平带下有着较高的噪声输出。

另外一种通过不同MOS 器件的阈值电压加权所产生的低压基准,则使用一个外置的滤波电

容来减小噪声。可是这种方法也难以实现,因为所需要的滤波电容太大(超过100nF ),以

至于很难被集成到芯片里。 除此之外,由于会增加系统的成本和体积,我们应当尽量避免

使用外置零件。

本文中,一种新的带隙基准将被提出,它将能在低于1V 的电源电压下进行工作。此外,

在没有大容值外置滤波电容的情况下,它仍然能很好控制输出噪声。它在BiCMOS 和CMOS

工艺下都可以很好地兼容。本文的其他内容结构如下。在第二章,我们将回顾一些以前的低

压带隙技术,两种低于1V 的基准将被详细讨论,分别是电流模式基准和电压模式基准。我

们会分析这两种基准所采用的技术和关键性问题。在第三章,我们将给出所设计的方案,包

括详细的原理分析和电路图,这一章的后半部分还会有一个和以前技术的简略比较。电路的

噪声和功耗的分析则在第四章,这一章同时还提出了相应的优化方案。第五章是仿真结果,

用来验证提出的设计方案。第六章主要是研究成果的总结归纳。

二、经典低压基准设计方案

1.电流模式基准

一个传统的带隙基准所需要的输入电压通常要高于其输出电压 1.2V 。一些文献中已经

提出了新的电流模式基准,它们可以在低于 1.2V 的供电电压下正常工作,同时它们在

BiCMOS 和CMOS 工艺下都可以被实现。通过将CTAT 电流和PTAT 电流相加,电流模式

基准能够产生产生一个与温度无关的电流。随后将这个电流镜像复制并通过一个电阻,就可

以得到一个与温度无关的基准电压。这种类型的低压基准具体电路如图二所示,所产生的基

准电压为 ][12412_T IMODE EB IMODE REF V M V R R W W V +??? ?????

??= (3) 这里的因数

??

? ????? ??=N W W In R R M IMODE 1032 (4)

图二.电流模式基准

尽管这种电流模式基准有着低压工作和不同工艺可适应性的优点,但是如图二所示,由

于电路拓扑结构中PFET 电流镜的存在,这种1V 工作的低压带隙基准会表现出平带较高和有

一定量噪声的缺点。

2.电压模式基准

作为上面讨论的电流模式基准的补充,这里提出了另外一种1V 工作的带隙基准——电

压模式基准。这种基准的输出相当于(1)式中输出电压的一部分。(1)的结论能被变形为

??

?

??+=N I I In V M V V C C T V BE REF 211 (10) 这里的因数

63

2≈=R R M V (11) 用式(10)除以(11)就能得到一个基准电压V REF V _:

mV N I I In V M V V C C T V BE V REF 200211_≈??

? ??+= (12) 公式(12)中的方法采用了反向带隙电压原理(RBVP ),和将BE V 加到标准电压T V 的

方法不同,电压模式1V 工作的基准是将一个T V 电压加到衰减的BE V 电压。采用这种技术的

电路不需要像图二中M0—M1—M2那样的电流镜。因此,在相同的功率损耗和晶圆面积下,

电压模式基准的输出噪声要比电流模式的更小。

使用RBVP 技术的电压模式基准如图四所示:

图四.电压模式基准

这个电路的输出电压为

21221_12R I N I I In V V R R V B C E T BE VMODE REF +??

? ??+??? ??= (13) 如果1B I 是可以忽略的并且2B I 《2C I ,那么就有22E C I I ≈,公式(13)可以化简为

mV N In V M V V T VMODE BE VMODE REF 200)(1_≈+≈

(14) 这里的因数

2

1R R M V M O D E = (15) 很明显的,图四中电路同样使用的是RBVP ,所以公式(14)和公式(12)的格式基本相同 。

三、新设计的1V 工作的低噪声电压基准

1.电路结构和工作原理

为了克服第二章中所提到的种种缺陷,我们提出了一种新型的1V 工作的带隙基准电压

源。它的电路原理图如图七所示。和前面提到的电压模式基准一样,它的输出电压也是通过

RBVP 原理产生的。三极管1Q 和电阻1R ,2R 组成了一个BE V 加法器,在节点1V 处产生一个

电压1EB V 。

把1V 减去2EB V ,就能得到基准电压NEW REF V _,描述NEW REF V _的等式和等式(12)有

着基本相同的格式。

图七、新设计的带隙基准

通过对图七中的电路进行直流分析,我们可以描述它的工作原理。作为分析的结果,我

们可以得到1V 处的电压: 2111121R I V R R V B EB +??

? ??

+= (34) 根据1V 周围的KVL (基尔霍夫电压定律),我们可以得出2Q 管的射—基级电流与NEW

REF V _满足等式:

4221_R I V V V B EB NEW REF --= (35)

将等式(34)中的1V 带入到等式(35)中又可得出

)42()(1221211_R I R I V V V R R V B B EB EB EB NEW REF -+-+??

? ??= (36) 在2Q 管的基极和输出节点NEW REF V _之间加一个电阻4R 是为了抵消等式(36)中所示由电

流1B I 带来的误差。如果将电阻4R 的值设置为 ???

??=2124B B I I R R (37) 那么等式(36)就被化简为 ()211_12EB EB EB NEW REF V V V R R V -+??

? ??= (38) 很明显的,这里21/B B I I 的数值需要对温度和输入电压保持无关,这样才能将(36)式

中1B I 所引起的误差降到最低。如果2R 和4R 不匹配的话,就没办法完全消除(36)中的误

差项。同样,如果21/B B I I 的值随着温度和输入电压变化的话,误差项也没办法完全消除。

即使电阻4R 不能完全消除1B I 的误差,它也能使电流1I 和2I 不必始终大于1B I ,这也是为了

将误差项最小化。除此之外,这种方法非常易于实现,而且也不会增加额外的电流损耗。

式(38)中射基极电压差值能被三极管1Q 和2Q 的集电极电流1C I 和2C I 代替,其转换

方式如下: ???? ??=-N I

I In V V V C C T EB EB 2121 (39) 将式(39)代入到式(38)中,基准电压的值就可以表示为 ??

? ??+??? ??=N I I In V V R R V C C T EB NEW REF 211_12 (40) 这里的N 是2Q 和1Q 发射极面积的比值。假设图七中运算放大器的输入偏置电流是可

以忽略的,那么1C I 的值可以被节点3V 周围的KCL (基尔霍夫电流定律)等式所取代: a

R V I C 331= (41) 2C I 的值则可以由节点NEW REF V _处的KCL 等式得到:

232B C I I I -= (42)

通过观察图七中的电路又可以得知 b

R V I NEW REF 3_3= (43)

将式(43)代入式(42)中可以简化2C I :

2_23B NEW REF C I b

R V I -= (44) 再将式(41)和(44)代入式(40)中,NEW REF V _的值就被表示为 ?????

? ??-??? ??+??? ??=N a R I V b R a R V In V V R R V B NEW REF T EB NEW REF 333122_31_ (45) 图七中的运算放大器和PFET 电流源M0构成了一个反馈环,它可以强制使得3V 的电压值与

NEW REF V _相等。假设该运放没有输入电压的失调误差,而且环路增益非常高,那么可以认

为3V 的值等于:

NEW REF V V _3= (46)

将式(46)代入式(45)中可以简化NEW REF V _的表达式为 ?????? ????? ??-??? ?

?+??? ??=NEW REF B T EB NEW REF V a R I b R a R N In V V R R V _21_33312 (47) 又因为NEW REF V _》a R I B 32,所以式(47)又可以简化为 mV N a R b R In V M V V T NEW EB NEW

REF 200331_≈??? ??+≈ (48) 这里的因数NEW M 为 2

1R R M NEW = (49) 对比式(48)和式(12)我们可以发现当b R a R 33=的时候两式式完全相等的。这也证明

了图七中电路所使用的确实也是RBVP 原理。

由图七中电路输出支路的KVL 分析可知输入电压(DD V )和NEW REF V _是紧密相关的。

其关系如下:

sat SD EB B NEW REF DD V V R I V V ,022_4+++= (50)

这里的sat SD V ,0是电流源0M 的源漏饱和电压。如果42R I B 可忽略并且在我们关心的温度范

围内2EB V 和sat SD V ,0的最大值分别为600mV 和200mV ,那么由式(50)和式(48)所能得出

的电路的最小输入电压(min ,DD V )应当为V V DD 1min ,≥。因此,所设计的基准电路有能力在

输入电压低至1V 的情况下进行工作。

由于电阻1R 和2R 之间,a R 3和b R 3之间,2R 和4R 之间存在着失配,所以基准源

的输出电压和式(47)中的理论值会有偏差。同样的,图七中的运放输入偏置电压也存在误

差(OS V )。对图七中的电路进行直流分析,我们可以求出上述各种偏差对基准输出电压的

影响。首先把各个电阻的失配用下面的式子进行描述: ??

? ???+=12)11(12R R x x R R (51) ??

? ???+=b R a R x b R a R x 33)21(33 (52)

??? ???+=24)31(24R R x R x R (53) 这里的1x ?,2x ?,以及3x ?分别是理想状况下1/2R R ,b R a R 3/3,以及2/4R R 的比

值。放大器的输入失调电压会使式(46)实际变成

OS X REF X V V V ±=??4_4_3 (54)

这里的4_3X V ?和4_X REF V ?分别是考虑运放输入失调时候图七中的3V 和NEW REF V _。实际的

基准输出电压Xi REF V ?_受各个误差的综合影响,可以表示为:

,__Xi NEW REF Xi REF V V V ??+= 4,3,2,1=i (55)

这里的NEW REF V _是理想状况下由式子(47)所得出的输出电压,31X X V V ??-分别是根据式

(51)—(53)推算出的电压误差。误差项4X V ?则是由(54)中的OS V 项得来的。

在理想状况下,电阻4R 的阻值应当满足(37)中的条件,这样就使得 ???

? ??+??? ??=1213324ββa R b R R R (56) 假设NEW REF V _》b R I B 32并且1)1/(22≈+ββ,那么各个电阻的失调对基准输出电压的影响

近似如下:

()NEW REF T EB X V x V R R V x V _1

1)11(211?+-?≈? (57) ??

? ????? ??-++?+??????++?-≈?NEW REF T NEW REF T NEW REF T NEW REF X V V R R b R R V V x b R R V V V x V _2_2__212]3)1(4)[2(13)1(4)2(ββ (58)

??? ????? ??-+?++?-≈?NEW REF T NEW REF X V V R R b R x R b R V R x V _22_3123)1()3(413)1()

(4)

3(ββ (59) ??

? ????? ??-??????+??? ????? ??+±≈?NEW REF T NEW REF T OS X V V R R a R R V V R R V V _1_412132121β (60) 如果电阻1R 和2R 是用相同的材料所构成的,那么(57)的分母可近似认为是与温度

无关的,因为2/1R R 》NEW REF T V V _/。这表明由于分子中存在的1EB V 项,1X V ?对温度的

关系是CTAT 型的。同时由于2/1R R 》1EB V ,所以误差电压1X V ?的数值非常小。由于2β和

NEW REF V _的原因,(58)和(59)中分母的右半部分均远远小于1。因此有 ?????

????? ??++?-≈?134)2(2_2βNEW REF T X V b R R V x V (61) ???

? ??+??? ???-≈?134)3(2_3βNEW REF X V b R R x V (62) 由于(61)始终存在的T V 项,在温度升高的时候误差电压2X V ?的绝对值也是增加的,而由

于(62)中存在的NEW REF V _项,在温度升高的时候误差电压3X V ?会保持相对恒定。只要2

β的温度漂移可以忽略,同时电阻b R 3和4R 是由同种材料构成的(相同的温度系数),上述

观测数据就是有效的。除此之外,结果表明如果使用高β值的PNP 晶体管并且使43R b R >,

2X V ?和3X V ?就能被减到最小。通过对(60)的观察,我们又可以知道如果OS V 和1β的温度

漂移可忽略,同时电阻2R 和a R 3是由同种材料构成的(相同的温度系数),那么4X V ?将会

有个与)1/(T T V V -成比例的温度系数。除此之外,只要使用高β值的PNP 晶体管并且使

23R a R >,4X V ?也可以被减到最小。

2.和经典方案的对比

和第二章中提到的经典带隙基准相比,这种新式的基准有着不少优点。其中之一就是

集电极电流的温度系数被很好定义了。由于集电极电流1C I 和2C I 是受图七中的反馈环控制

的,所以本设计里NEW M 的计算和第二章第二小节里该因数的计算式不一样的。根据(40)

和(48)中所示的自然对数式,有

a

R b R I I C C 3321≈ (63) 参考文献【12】中提到集电极电流是由1BE V 或基准电压影响的,参考文献【15】提到集电

极电流由1BE V 影响,而这个结果表明集电极电流是由电阻a R 3和b R 3所决定的。除此之外,

电流1C I 2C I 和(41)(44)中的NEW REF V _ 是成比例的。这表明它们是与温度无关的。这些

就使得在不依靠电脑模拟和精确的模型频繁实验的条件下,我们就可以很简单地得到NEW

M 的值。

第二个优点是这个基准的核心三极管1Q 在工作时可以远离深饱和区。文献【12】中电

路提到的一个很大缺陷就是1Q 管工作在深饱和区,这导致基准的输出电压会随着基极电流

1B I 的增加有较大波动。图七中所示电路里的1Q 管则可以工作在放大区,这里1Q 处的集基

级电流为

111EC EB CB V V V -= (64)

通过观察电路可知

311V V V EC -= (65)

将(34)和(46)代入(65)中,则1EC V 的计算式变成了 NEW REF EB EC V V R R V _11121-??

? ??

+≈ (66) 假设电流1B I 可以忽略不计,那么将(48)和(66)代入(64)中可得 ??

? ??≈N a R b R In V V T CB 331 (67) 通过使用(67)来代入(29)—(31)中的BC V ,可知1C I ,1E I 的减小量以及1B I 的增大量

是对下式成比例的: 1331exp 1-??

? ??=-??? ??a R b R N V V T CB (68) 式(68)的结果表明如果电阻a R 3和b R 3是使用相同材料构成(有着相同的温度系数),那

么随着1CB V 的改变,相应发生的1C I ,1B I 以及1E I 的改变时保持与温度无关的。1Q 的基极

极电压1BC V 是用(32)来表示的,把(32)中的BC V 带入(29)—(31)左右中,可知1C I ,

1E I 的减小量以及1B I 的增大量是对下式成比例的:

1exp 1exp _11-??

? ??-=-??? ??T VMODE REF BE T BC V V V V V (69) 这个结果表明,由于指数项里的1BE V 和T V 是随温度变化的,所以随着1BC V 的变化,相应的,

1C I ,1B I 以及1E I 的改变量也是随温度变化的。除此之外,(68)和(69)的结果表明,文献

【12】中1Q 的基集极电压比本文设计的1Q 的集基极电压对集电极,基极,射极电流影响更

大,因为

??

? ??-T VMODE REF BE V V V _1exp 》N a R b R ??? ??33 (70) 上述分析表明,比起文献【12】中的电路,图七中的电路更好地控制了式(36)中1B I 的误

差。因此,由电路中元件失配引起的1Q 管的1EC V 和1EB V 的变化不会明显阻碍电阻4R 的作

用——抵消(36)中1B I 的误差项。

除了上述优点之外,所设计电路还与低功耗N 阱CMOS 工艺相兼容。它使用PNP 三极管

来产生核心电路的电压1EB V 和2EB V 。和【12】【15】中采用的NPN 管不同,PNP 三极管在现

代的低功耗N 阱CMOS 工艺中可以通过P 型衬底进行横向设计。

最后,比起以前所用的基准电压源,我们的设计里是没有集电极电流的不匹配的。1Q 管

和2Q 管将有相同的射基极电压,由于基区宽度调制,使得集电极电流的误差和失配减到最

小。一个PNP 双极型晶体管的集电极电流(C I )是和射集极电压以及引用文献【1,p.19】

中的欧拉电压(A V )有关的: ??? ?

?+??? ??=A EC T EB S C V V V V I I 1exp (71) 将(71)中的1EB V 和2EB V 代入(38)中,再加上使用(41)(44)和(46)式可知

?????

????????????? ??++??? ??-??? ??+=A EC A EC NEW REF B T NEW EB NEW REF V V V V V a R I b R a R N In V M V V 12_21

_11333 (72) 由于较短的基区宽度,这里的横向PNP 前端电压趋向于10V 以内。这种情况下,基准的输出

电压NEW REF V _将不再仅仅由电阻a R 3和b R 3的比值决定。由于图七中1Q 和2Q 的射极末

端是连在一起的,因此只要两管的集电极电压相同,那么两管的欧拉电压对NEW REF V _的影

响就可以忽略不计。通过(63)式的推导我们还可得知图七中的运算放大器会强制使得节点

NEW REF V _和3V 处的电压值相等。在(46)的条件下我们又可知电压1EC V 和2EC V 是相等的。

因此,在这个基准里,由欧拉电压A V 导致的1C I 和2C I 之间的失配就被消除了。而在【12】

和【15】中的电压模式基准里,1Q 和2Q 的集射极电压并不相等,所以由于欧拉电压的存

在,集电极电流1C I 和2C I 会存在误差和失配。

四、设计优化(略)

五、仿真结果(略)

六、总结

上面提供了一个新型的1V 下工作,静态电流仅20uA 的带隙基准电压源,它的输出电压

为mV mV 083.191.190±,在40-℃到125+℃之间的平均温度系数约为11.04ppm/℃。不

仅有着非常优良的温度系数和未经调整的精度,在没有外置电容的情况下它仍然能将输出噪

声控制得很低。平带输出噪声的频谱密度是40nV/Hz ,转折频率则是20Hz 。此外,所设计基

准从0.1到10Hz 的峰峰输出噪声为4uV ,它的标准电压调整率为86-dB 。最后,这个电路所

采用的技术使它能以最小的改造在各种不同的工艺之间移接,例如BiCMOS 和CMOS 。

参考文献:

[1] P. R. Gray and R. G . Meyer, Analysis and Design of Analog Integrated Circuits, 3rd ed. New

York: Wiley, 1993.

[2] R. J. Widlar, “New developments in IC voltage regulators,” IEEE J. Solid-State Circuits, vol.

SC-6, no. 1, pp. 2–7, Feb. 1971.

[3] K. E.Kuijk, “Aprecision reference voltage source,” IEEE J. Solid-State Circuits, vol. SC-8,

no. 3, pp. 222–226, Jun. 1973.

[4] A. P. Brokaw, “A simple three -terminal IC bandgap reference,” IEEE J. Solid-State Circuits,

vol. SC-9, no. 6, pp. 388–393, Dec. 1974.

[5] H. Banba et al., “A CMOS bandgap reference circuit with sub -1-V operation,” IEEE J.

Solid-State Circuits, vol. 34, no. 5, pp. 670–674, May 1999.

[6] P. Malcovati et al., “Curvature -compensated BiCMOS bandgap with 1-V supply voltage,”

IEEE J. Solid-State Circuits, vol. 36, no. 7, pp. 1076–1081, Jul. 2001.

[7] K. N. Leung and P. K. T. Mok, “Asub -1-V 15-ppm/ C CMOSbandgap voltage reference

without requiring lowthreshold voltage device,” IEEE J. Solid-State Circuits, vol. 37, no. 4, pp. 526–530, Apr. 2002.

[8] K. N. Leung and P. K. T. Mok, “A CMOS voltage reference based on weighted GS V for

CMOS low-dropout linear regulators,” IEEE J. Solid-State Circuits, vol. 38, no. 1, pp. 146–150, Jan. 2003.

[9] E. A.Vittoz, “Very lowpower circuit design: Fundamentals and limits,” in Proc. IEEE Int.

Symp. Circuits and Sy stems (ISCAS’93), May 1993, vol. 2, pp. 1439–1442.

[10] R. J. Baker, H.W. Li, and D. E. Boyce, CMOS Circuit Design, Layout, and Simulation.

New York: Wiley-IEEE Press, 1998.

[11] V . V. Ivanov and I. M. Filanovsky, Operational Amplifier Speed and Accuracy Improvement.

Boston, MA: Kluwer, 2004.

[12] H. Lin and C.-J. Liang, “A sub -1 V bandgap reference circuit using subthreshold current,”

in Proc. IEEE Int. Symp. Circuits and Systems (ISCAS’05), May 2005, vol. 5, pp. 4253–4256.

[13] K. E. Sanborn, “A sub -1V low-noise bandgap voltage reference,” M.S.E.E. thesis, Univ.

Arizona, Tucson, AZ, 2006.

[14] K. E. Sanborn, D. Ma, and V . V . Ivanov, “A sub -1-V low-noise bandgap voltage reference,”

in Proc. IEEE Custom Integrated Circuits Conf. (CICC’06), Sep. 2006, pp . 607–610.

[15] R.Widlar, “Low voltage techniques,” IEEE J. Solid -State Circuits, vol. SC-13, no. 6, pp.

838–846, Dec. 1978.

[16] W. T. Holman and J. A. Connelly, “A compact low noise operational amplifier for a 1.2 m

digital CMOS technology,” IEEE J. S olid-State Circuits, vol. 30, no. 6, pp. 710–714, Jun. 1995.

[17] C. D. Motchenbacher and J. A. Connelly, Low-Noise Electronic System Design. New York:

Wiley, 1993.

带隙基准电压源的设计

哈尔滨理工大学 软件学院 课程设计报告 课程大三学年设计 题目带隙基准电压源设计 专业集成电路设计与集成系统班级集成10-2 班 学生唐贝贝 学号1014020227 指导老师董长春 2013年6月28日

目录 一.课程设计题目描述和要求………………………………………… 二.课程设计报告内容………………………………………………… 2.1课程设计的计算过程…………………………………………. 2.2带隙电压基准的基本原理……………………………………. 2.3指标的仿真验证结果…………………………………………. 2.4 网表文件……………………………………………………… 三.心得体会……………………………………………………………四.参考书目………………………………………………………….

一.课程设计题目描述和要求1.1电路原理图: (1).带隙基准电路 (2).放大器电路

1.2设计指标 放大器:开环增益:大于70dB 相位裕量:大于60度 失调电压:小于1mV 带隙基准电路:温度系数小于10ppm/C ? 1.3要求 1>手工计算出每个晶体管的宽长比。通过仿真验证设计是否正确,是否满足指标的要求,保证每个晶体管的正常工作状态。 2>使用Hspice 工具得到电路相关参数仿真结果,包括:幅频和相频特性(低频增益,相位裕度,失调电压)等。 3>每个学生应该独立完成电路设计,设计指标比较开放,如果出现雷同按不及格处理。 4>完成课程设计报告的同时需要提交仿真文件,包括所有仿真电路的网表,仿真结果。 5>相关问题参考教材第六章,仿真问题请查看HSPICE 手册。 二. 课程设计报告内容 由于原电路中增加了两个BJT 管,所以Vref 需要再加上一个Vbe ,导致最后结果为(ln )8.6M n β??≈,最后Vref 大概为1.2V ,且电路具有较大的电流,可以驱动较大的负载。 2.1课程设计的计算过程 1> M8,M9,M10,M11,M12,M13宽长比的计算 设Im8=Im9=20uA (W/L)8=(W/L)9=20uA 为了满足调零电阻的匹配要求,必须有Vgs13=Vgs6 ->因此还必须满足(W/L)13=(Im8/I6)*(W/L)6 即(W/L)13/(W/L)6=(W/L)9/(W/L)7 取(W/L)13=27 取(W/L)10=(W/L)11=(W/L)13=27 因为偏置电路存在整反馈,环路增益经计算可得为1/(gm13*Rb),若使环路

带隙基准电路设计要点

帯隙基准电路设计 (东南大学集成电路学院) 一.基准电压源概述 基准电压源(Reference V oltage)是指在模拟电路或混合信号电路中用作电压基准的具有相对较高精度和稳定度的参考电压源,它是模拟和数字电路中的核心模块之一,在DC/DC ,ADC ,DAC 以及DRAM 等集成电路设计中有广泛的应用。它的温度稳定性以及抗噪性能影响着整个电路系统的精度和性能。模拟电路使用基准源,是为了得到与电源无关的偏置,或是为了得到与温度无关的偏置,其性能好坏直接影响电路的性能稳定。在CMOS 技术中基准产生的设计,着重于公认的“帯隙”技术,它可以实现高电源抑制比和低温度系数,因此成为目前各种基准电压源电路中性能最佳、应用最广泛的电路。 基于CMOS 的帯隙基准电路的设计可以有多种电路结构实现。常用的包括Banba 和Leung 结构带薪基准电压源电路。在综合考虑各方面性能需求后,本文采用的是Banba 结构进行设计,该结构具有功耗低、温度系数小、PSRR 高的特点,最后使用Candence 软件进行仿真调试。 二.帯隙基准电路原理与结构 1.工作原理 带隙基准电压源的设计原理是根据硅材料的带隙电压与电源电压和温度无关的特性,通过将两个具有相反温度系数的电压进行线性组合来得到零温度系数的电压。用数学方法表示可以为:2211V V V REF αα+=,且02211 =??+??T V T V αα。 1).负温度系数的实现 根据双极性晶体管的器件特性可知,双极型晶体管的基极-发射极电压BE V 具有负温度系数。推导如下: 对于一个双极性器件,其集电极电流)/(exp T BE S C V V I I =,其中q kT V T /=,

带隙基准电压源设计解析

0 引言 基准电压是集成电路设计中的一个重要部分,特别是在高精度电压比较器、数据采集系统以及A/D和 D/A转换器等中,基准电压随温度和电源电压波动而产生的变化将直接影响到整个系统的性能。因此,在高精度的应用场合,拥有一个具有低温度系数、高电源电压抑制的基准电压是整个系统设计的前提。传统带隙基准由于仅对晶体管基一射极电压进行一阶的温度补偿,忽略了曲率系数的影响,产生的基准电压和温度仍然有较大的相干性,所以输出电压温度特性一般在20 ppm/℃以上,无法满足高精度的需要。 基于以上的要求,在此设计一种适合高精度应用场合的基准电压源。在传统带隙基准的基础上利用工作在亚阈值区MOS管电流的指数特性,提出一种新型二阶曲率补偿方法。同时,为了尽可能减少电源电压波动对基准电压的影响,在设计中除了对带隙电路的镜相电流源采用cascode结构外还增加了高增益反馈回路。在此,对电路原理进行了详细的阐述,并针对版图设计中应该的注意问题进行了说明,最后给出了后仿真结果。 l 电路设计 1.1 传统带隙基准分析 通常带隙基准电压是通过PTAT电压和CTAT电压相加来获得的。由于双极型晶体管的基一射极电压Vbe呈负温度系数,而偏置在相同电流下不同面积的双极型晶体管的基一射极电压之差呈正温度系数,在两者温度系数相同的情况下将二者相加就得到一个与温度无关的基准电压。 传统带隙电路结构如图1所示,其中Q2的发射极面积为Q1和Q3的m倍,流过Q1~Q3的电流相等,运算放大器工作在反馈状态,以A,B两点为输入,驱动Q1和Q2的电流源,使A,B两点稳定在近似相等的电压上。

假设流过Q1的电流为J,有: 由于式(5)中的第一项具有负温度系数,第二项具有正温度系数,通过调整m值使两项具有大小相同而方向相反的温度系数,从而得到一个与温度无关的电压。理想情况下,输出电压与电源无关。 然而,标准工艺下晶体管基一射极电压Vbe随温度的变化并非是纯线性的,而且由于器件的非理想性,输出电压也会受到电源电压波动的影响。其中,曲线随温度的变化主要取决于Vbe自身特性、集电极电流和电路中运放的失调电压,Vbe

带隙基准源电路与版图设计

带隙基准源电路与版图设计

论文题目:带隙基准源电路与版图设计 摘要 基准电压源具有相对较高的精度和稳定度,它的温度稳定性以及抗噪性能影响着整个系统的精度和性能。模拟电路使用基准源,或者是为了得到与电源无关的偏置,或者为了得到与温度无关的偏置,其性能好坏直接影响电路的性能稳定,可见基准源是子电路不可或缺的一部分,因此性能优良的基准源是一切电子系统设计最基本和最关键的要求之一,而集成电路版图是为了实现集成电路设计的输出。本文的主要目的是用BiCMOS工艺设计出基准源电路的版图并对其进行验证。 本文首先介绍了基准电压源的背景发展趋势及研究意义,然后简单介绍了基准电压源电路的结构及工作原理。接着主要介绍了版图的设计,验证工具及对设计的版图进行验证。 本设计采用40V的0.5u BiCMOS工艺库设计并绘制版图。仿真结果表明,设计的基准电压源温度变化为-40℃~~85℃,输出电压为2.5V及1.25V。最后对用Diva 验证工具对版图进行了DRC和LVS验证,并通过验证,表明本次设计的版图符合要求。 关键字:BiCMOS,基准电压源,温度系数,版图

Subject: Research and Layout Design Of Bandgap Reference Specialty: Microelectronics Name: Zhong Ting (Signature)____Instructor: Liu Shulin (Signature)____ ABSTRACT The reference voltage source with relatively high precision and stability, temperature stability and noise immunity affect the accuracy and performance of the entire system. Analog circuit using the reference source, or in order to get the bias has nothing to do with power, or in order to be independent of temperature, bias, and its performance directly affects the performance and stability of the circuit shows that the reference source is an integral part of the sub-circuit, excellent reference source is the design of all electronic systems the most basic and critical requirements of one of the IC layout in order to achieve the output of integrated circuit design. The main purpose of this paper is the territory of the reference circuit and BiCMOS process to be verified. This paper first introduces the background of the trends and significance of the reference voltage source, and then briefly introduced the structure and working principle of the voltage reference circuit. Then introduces the layout design and verification tools to verify the design of the territory. This design uses a 40V 0.5u BiCMOS process database design and draw the layout.The simulation results show that the design of voltage reference temperature of -40 °C ~ ~ 85 °C, the output voltage of 2.5V and 1.25V. Finally, the Diva verification tool on the territory of the DRC and LVS verification, and validated, show that the territory of the design meet the requirements. I

低电压带隙基准电压源设计

低电压带隙基准电压源设计 基准电压是数模混合电路设计中一个不可缺少的参数,而带隙基准电压源又是产生这个电压的最广泛的解决方案。在大量手持设备应用的今天,低功耗的设计已成为现今电路设计的一大趋势。随着CMOS 工艺尺寸的下降,数字电路的功耗和面积会显著下降,但电源电压的下降对模拟电路的设计提出新的挑战。传统的带隙基准电压源结构不再适应电源电压的要求,所以,新的低电压设计方案应运而生。本文采用一种低电压带隙基准结构。在TSMC0.13μmCMOS工艺条件下完成,包括核心电路、运算放大器、偏置及启动电路的设计,并用Cadence Spectre对电路进行了仿真验证。 1 传统带隙基准电压源的工作原理 传统带隙基准电压源的工作原理是利用两个温度系数相抵消来产生一个零温度系数的直流电压。图1所示是传统的带隙基准电压源的核心部分的结构。其中双极型晶体管Q2的面积是Q1的n倍。 假设运算放大器的增益足够高,在忽略电路失调的情况下,其输入端的电平近似相等,则有: VBE1=VBE2+IR1 (1)

其中,VBE具有负温度系数,VT具有正温度系数,这样,通过调节n和R2/R1,就可以使Vref得到一个零温度系数的值。一般在室温下,有: 但在0.13μm的CMOS工艺下,低电压MOS管的供电电压在1.2 V左右,因此,传统的带隙基准电压源结构已不再适用。 2 低电源带隙基准电压源的工作原理 低电源电压下的带隙基准电压源的核心思想与传统结构的带隙基准相同,也是借助工艺参数随温度变化的特性来产生正负两种温度系数的电压,从而达到零温度系数的目的。图2所示是低电压下带隙基准电压源的核心部分电路,包括基准电压产生部分和启动电路部分。

带隙基准学习笔记

带隙基准设计 A.指标设定 该带隙基准将用于给LDO提供基准电压,LDO的电源电压 变化范围为1.4V到3.3V,所以带隙基准的电源电压变化范围与 LDO的相同。LDO的PSR要受到带隙基准PSR的影响,故设计 的带隙基准要有高的PSR。由于LDO是用于给数字电路提供电源,所以对噪声要求不是很高。下表该带隙基准的指标。 电源电压1.4V~3.3V 输出电压0.4V 温度系数35ppm/℃ PSR@DC,@1MHz-80dB,-20dB 积分噪声电压(1Hz~100kHz)<1mV 功耗<25uA 线性调整率<0.01%

B.拓扑结构的选择 上图是传统结构的带隙基准,假设M 1~M尺寸相同,那么输 3 出电压为 R 2 V REF VlnNV BE T3 R 1 V是负温度系数,对温度求导数,得到公式(Razavi, BE Page313): V BE3BE3(4)Tg/ VmVE TT q 其中, 3 m。如果输出电压为零温度系数,那么: 2 V REF V BE 3 TT k q lnN R 2 R 1 得到: kV BE(4m)V T E g/ R 3 2 lnN qRT 1 q 带入: R

2 V REF VlnNV BE T3 R 1 得到:

E g V REF(4m)V T q 在27°温度下,输出电压等于1.185V,小于电源电压1.4V,可这个电路并不能工作在1.4V电源电压下,因为对于带隙基准 里的运放来说,共模输入范围会受到电源电压限制,电源电压的最小值为: VDD min V BE VV 2GS_input_differential_pairover _drive_of_current_source 其中,V是三极管Q2的导通电压,V GS_input_differential_pair是运放差 BE2 分输入管对的栅源电压,V____是运放差分输入管对尾 overdriveofcurrentsource 电流源的过驱动电压。 对于微安级别的电流,可以认为: V GS V TH 这里将差分输入对的体和源级短接以减小失配,同时阈值电 压不会受到体效应的影响。假设差分对尾电流源的过驱动电压为 100mV,那么,电源电压的最小值为: VDD min V BE2V TH_input_differential_pair100mV 下表列出了smic.13工艺P33晶体管阈值电压和三极管的导通电压随Corner角和温度变化的情况: V-40°27°80° TH slow-826mV-755mV-699mV typical-730mV-660mV-604mV fast-637mV-567mV-510mV BJT的V-40°27°80° BE slow830mV720mV630mV typical840mV730mV640mV fast860mV750mV660mV 可以计算出在不同温度的Corner角下电源电压的最小值: VDD-40°27°80° min slow1.756V1.575V1.429V typical1.67V1.49V1.344V fast1.597V1.417V1.27V 可以看出,对于大部分情况,1.4V电源电压无法保证带隙基 准中运放的正常工作,所以必须改进电路结构,使其可以工作在 1.4V电源电压下。

带隙基准设计实例

带隙基准电路的设计 基准电压源是集成电路中一个重要的单元模块。目前,基准电压源被广泛应用在高精度比较器、A/ D 和D/ A 转换器、动态随机存取存储器等集成电路中。它产生的基准电压精度、温度稳定性和抗噪声干扰能力直接影响到芯片,甚至整个控制系统的性能。因此,设计一个高性能的基准电压源具有十分重要的意义。自1971 年Robert Widla 提出带隙基准电压源技术以后,由于带隙基准电压源电路具有相对其他类型基准电压源的低温度系数、低电源电压,以及可以与标准CMOS 工艺兼容的特点,所以在模拟集成电路中很快得到广泛研究和应用。 带隙基准是一种几乎不依赖于温度和电源的基准技术,本设计主要在传统电路的基础上设计一种零温度系数基准电路。 一 设计指标: 1、温度系数:ref F V TC V T ?=? 2、电压系数:ref F dd V VC V V ?= ? 二 带隙基准电路结构:

三 性能指标分析 如果将两个具有相反温度系数(TCs )的量以适合的权重相加,那么结果就会显示出零温度系数。在零温度系数下,会产生一个对温度变化保持恒定的量V REF 。 V REF = a 1V BE + a 2V T ㏑(n) 其中, V REF 为基准电压, V BE 为双极型三极管的基极-发射极正偏电压, V T 为热电压。对于a 1和a 2的选择,因为室温下/ 1.5m /BE T V V K ??≈-,然而/0.087m /T V T V K ??≈+,所以我们可以选择令a 1=1,选择a 2lnn 使得2(ln )(0.087/) 1.5/n mV K mV K α=,也就是 2ln 17.2n α≈,表明零温度系数的基准为: 17.2 1.25REF BE T V V V V ≈+≈ 对于带隙基准电路的分析,主要是在Cadence 环境下进行瞬态分析、dc 扫描分析。 1、瞬态分析 电源电压Vdd=5v 时,Vref ≈,下图为瞬态分析图。 2.电压系数的计算: 下图为基准电压Vref 随电源电压Vdd 变化dc 分析扫描。 扫描电压范围为:3到6v ,基准电压Vref 为,保持基本不变。

带隙基准设计实例

带隙基准设计实例-CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

带隙基准电路的设计 基准电压源是集成电路中一个重要的单元模块。目前,基准电压源被广泛应用在高精度比较器、A/ D 和D/ A 转换器、动态随机存取存储器等集成电路中。它产生的基准电压精度、温度稳定性和抗噪声干扰能力直接影响到芯片,甚至整个控制系统的性能。因此,设计一个高性能的基准电压源具有十分重要的意义。自1971 年Robert Widla 提出带隙基准电压源技术以后,由于带隙基准电压源电路具有相对其他类型基准电压源的低温度系数、低电源电压,以及可以与标准CMOS 工艺兼容的特点,所以在模拟集成电路中很快得到广泛研究和应用。 带隙基准是一种几乎不依赖于温度和电源的基准技术,本设计主要在传统电路的基础上设计一种零温度系数基准电路。 一 设计指标: 1、 温度系数:ref F V TC V T ?=? 2、 电压系数:ref F dd V VC V V ?=? 二 带隙基准电路结构:

三 性能指标分析 如果将两个具有相反温度系数(TCs )的量以适合的权重相加,那么结果就会显示出零温度系数。在零温度系数下,会产生一个对温度变化保持恒定的量V REF 。 V REF = a 1V BE + a 2V T ㏑(n) 其中, V REF 为基准电压, V BE 为双极型三极管的基极-发射极正偏电压, V T 为热电压。对于a 1和a 2的选择,因为室温下/ 1.5m /BE T V V K ??≈-,然而/0.087m /T V T V K ??≈+,所以我们可以选择令a 1=1,选择a 2lnn 使得2(ln )(0.087/) 1.5/n mV K mV K α=,也就是2ln 17.2n α≈,表明零温度系数的基准为: 17.2 1.25REF BE T V V V V ≈+≈ 对于带隙基准电路的分析,主要是在Cadence 环境下进行瞬态分析、dc 扫描分析。 1、瞬态分析 电源电压Vdd=5v 时,Vref ≈,下图为瞬态分析图。 2.电压系数的计算: 下图为基准电压Vref 随电源电压Vdd 变化dc 分析扫描。 扫描电压范围为:3到6v ,基准电压Vref 为,保持基本不变。

带隙基准实验报告

基本带隙基准电压源设计 一、实验要求 1、设计出基本的带隙基准 2、设计出低压带隙基准 二、实验目的 1、掌握PSPICE的仿真 2、熟悉带隙基准电压设计的原理 三、实验原理 模拟电路广泛的包含电压基准和电流基准。这种基准是直流量,它与电源和工艺参数的关系很小,但与温度的关系是确定的。产生基准的目的是建立一个与电源和工艺无关,具有确定温度特性的直流电压或电流。要实现基准电压源所需解决的主要问题是如何提高其温度抑制与电源抑制,即如何实现与温度有确定关系且与电源基本无关的结构。由于在现实中半导体几乎没有与温度无关的参数,因此只有找到一些具有正温度系数和负温度系数的参数,通过合适的组合,可以得到与温度无关的量,且这些参数与电源无关。 负温度系数电压:双极性晶体管的基极-发射极电压,或者更一般的说,p-n 结二极管的正向电压,具有负的温度系数。 正温度系数电压:如果两个双极晶体管工作在不相等的电流密度下,那么它们的基极-发射极电压的差值与绝对温度成正比,且正温度系数与温度或集电极电流的特性无关。 利用上面得到的正、负温度系数的电压,通过合适的组合,我们就可以设计出一个零温度系数的基准。由于这个基准电压与硅的带隙电压差不多,因而称为带隙基准。 1、基本带隙基准 1.1基本的原理图如图1所示:

图1 基本带隙基准原理图 其中,MOS 管M1-M3的宽长比相同,Q1由n 个与Q2相同的晶体管并联而成。运放起嵌位作用,使得X 点和Y 点稳定在近似相等的电压。 1.2带隙电压公式推导: 对于一个双极性晶体管,我们可以写出其集电极电流公式为:BE T V V C S I I e =,其中 T kT V q = ,S I 为饱和电流,则可以推导出: ln C EB T S I V V I =。 假设运算放大器的增益足够高,在忽略电路失调的情况下有: 21 122 EB EB R R V V I I R -== 2 ln ln C C T T S S I I V V I nI R -= 2 ln T V n R = 则带隙基准电压为: (1) (2)

带隙电压基准源的设计与分析

带隙电压基准源的设计与分析 摘要介绍了基准源的发展和基本工作原理以及目前较常用的带隙基准源电路结构。设计了一种基于Banba结构的基准源电路,重点对自启动电路及放大电路部分进行了分析,得到并分析了输出电压与温度的关系。文中对带隙电压基准源的设计与分析,可以为电压基准源相关的设计人员提供参考。可以为串联型稳压电路、A/D和D/A转化器提供基准电压,也是大多数传感器的稳压供电电源或激励源。 基准源广泛应用于各种模拟集成电路、数模混合信号集成电路和系统集成芯片中,其精度和稳定性直接决定整个系统的精度。在模/数转换器(ADC)、数/模转换器(DAC)、动态存储器(DRAM)等集成电路设计中,低温度系数、高电源抑制比(PSRR)的基准源设计十分关键。 在集成电路工艺发展早期,基准源主要采用齐纳基准源实现,如图1(a)所示。它利用了齐纳二极管被反向击穿时两端的电压。由于半导体表面的沾污等封装原因,齐纳二极管噪声严重且不稳定。之后人们把齐纳结移动到表面以下,支撑掩埋型齐纳基准源,噪声和稳定性有较大改观,如图1(b)所示。其缺点:首先齐纳二极管正常工作电压在6~8 V,不能应用于低电压电路;并且高精度的齐纳二极管对工艺要求严格、造价相对较高。 1971年,Widlar首次提出带隙基准结构。它利用VBE的正温度系数和△VBE的负温度系数特性,两者相加可得零温度系数。相比齐纳基准源,Widlar型带隙基准源具有更低的输出电压,更小的噪声,更好的稳定性。接下来的1973年和1974年,Kujik和Brokaw分别提出了改进带隙基准结构。新的结构中将运算放大器用于电压钳位,提高了基准输出电压的精度。 以上经典结构奠定了带隙基准理论的基础。文中介绍带隙基准源的基本原理及其基本结构,设计了一种基于Banba结构的带隙基准源,相对于Banba结构,增加了自启动电路模块及放大电路模块,使其可以自动进入正常工作状态并增加其稳定性。 1 带隙基准源工作原理 由于带隙电压基准源能够实现高电源抑制比和低温度系数,是目前各种基准电压源电路中性能最佳的基准源电路。 为得到与温度无关的电压源,其基本思路是将具有负温度系数的双极晶体管的基极-发射极电压VBE与具有正温度系数的双极晶体管VBE的差值△VBE以不同权重相加,使△VBE 的温度系数刚好抵消VBE的温度系数,得到一个与温度无关的基准电压。图2为一个基本的CMOS带隙基准源结构电路。

带隙基准

带隙基准电压源实验报告 一、实验名称:带隙基准电压源 二、实验目的: 1.熟悉掌握Orcad captureCIS的使用方法以及常见的仿真方法和参数设置。 2.利用Orcad captureCIS设计带隙基准电压源,并完成要求功能。 3.掌握带隙基准电压源的设计原理及计算方法。 三、实验步骤: (一)参数设置: 1.电源电压VCC= 2.7V,室温下(T=300K)时,IEQ=10uA。 2.确定电路结构后,预选两三极管的发射结面积之比为8,则有公式IEQ=VT*ln(8)/R1,计算可得R1=5.4K。 3.且由Vref=Vbe+αVT,当α=17.2时,使得Vref对温度T的偏导数为0,构成一个带隙基准电压源。而α=(1+R2/R1)ln(8),由R1=5.4K计算得R2=39.3K。 5.再由各级电流确定各放大MOS管以及启动电路MOS管的宽长比。 6.进行仿真验证。 (二)步骤及结果: 1、画出电路结构,按照以上计算的参数设置,电路如图所示: 如上图所示,R1取值为5.4K时,进行温度扫描,所得结果,如下图所示:

由图形曲线可以看出,温度偏移了我预想设置的温度,说明计算存在偏差,我通过改变R1的值来调节,使Vref在室温下是一个定值,且达到最大。如下为参数扫描的曲线,确定R1: 由图形可以看出,在不同的温度下,Vref的变化,以及其随R1的变化。当R1=5.6K时,所有曲线相交于一点,说明当R1=5.6K时,Vref在室温时能达到最大值,更改R1的值后,所得扫描曲线Vref 随温度的变化为: 由图所示,当温度在22~35度之间,Vref为一定值,所得基准电压比较稳定,结果比较满意。 2、仿真验证正温度系数电压,结果如图所示:

带隙基准源

带隙基准源 基本指标:共模抑制比(高);开环增益();失调电压(低);压摆率();随温度变化率/系数(低);温漂(低);功耗(低);相位裕度,理想相位裕度60°; 温度系数TC(temperature coefficient):指温度变化引起的输出电压的变化,一般用ppm/℃来表示。温度系数反映基准源在整个工作温度范围内输出电压最大值与最小值相对正常输出时的变化,对于一阶补偿的带隙基准源电路而言,温度系数一般在几十ppm/℃,经过二阶或高阶的非线性补偿的电路,温度系数可以达到几个ppm/℃以下。目前常用的高阶温度补偿技术包括:二阶曲线补偿技术[10],指数曲线补偿技术,线形化V BE的技术[11],基于电阻比值的温度系数的曲线补偿方法等。 线性调整率:用来描述直流情况下电源电压波动对基准电压的影响程度。调整率越小,基准输出电压越稳定。它是基准电压的直流特性参数,与瞬时状态无关。 电源抑制比:表示电源电压在小信号情况下的变化量与基准的变化量之比。亦即等于差分放大倍数与由于Vdd变化引起的放大倍数之比,表达式为A V (Vdd=0)/A V dd(Vin=0),它是基准电压的交流特性参数。 噪声:基准输出电压中的噪声通常包括宽带热噪声和窄带l / f 噪声。宽带噪声可以应用RC滤波器等电路有效的过滤清除。而l / f 噪声是基准源内在固有的噪声,不能被滤除,一般在0.1到10Hz范围内发挥作用。对高精度系统,低频的l / f 噪声的影响是一个重要的参数。 建立时间:指电源上电后,基准源输出达到正常值所需的时间。

表4-1电压基准源设计指标 设计指标描述最小值典型值最大值单位工作温度-40 27 85 ℃工作电压 4.5 5 5.5 V 输出电压 1.24/2.48 1.25/2.50 1.26/2.52 V 输出电流 2 mA 温度系数30 ppm/℃电源纹波抑制比(2MHz) -20 -30 -50 dB 采用自举输入还有以下优点:1)消除了Q1和Q2管的厄尔利效应不对称对K CMR的影响,同时,Q1,2的基极电压和Q5,6的基极电压将随输入共模电压变化,形成共模反馈,所以,K CMR得以大大提高;2)V CB1,2≈0,能有效地消除集-基反向漏电流I CBO对I B的有害干扰;3)由于基极电流很小,所以,该电路有很高的输入阻抗。

带隙基准源电路与版图设计

论文题目:带隙基准源电路与版图设计 摘要 基准电压源具有相对较高的精度和稳定度,它的温度稳定性以及抗噪性能影响着整个系统的精度和性能。模拟电路使用基准源,或者是为了得到与电源无关的偏置,或者为了得到与温度无关的偏置,其性能好坏直接影响电路的性能稳定,可见基准源是子电路不可或缺的一部分,因此性能优良的基准源是一切电子系统设计最基本和最关键的要求之一,而集成电路版图是为了实现集成电路设计的输出。本文的主要目的是用BiCMOS工艺设计出基准源电路的版图并对其进行验证。 本文首先介绍了基准电压源的背景发展趋势及研究意义,然后简单介绍了基准电压源电路的结构及工作原理。接着主要介绍了版图的设计,验证工具及对设计的版图进行验证。 本设计采用40V的0.5u BiCMOS工艺库设计并绘制版图。仿真结果表明,设计的基准电压源温度变化为-40℃~~85℃,输出电压为2.5V及1.25V。最后对用Diva 验证工具对版图进行了DRC和LVS验证,并通过验证,表明本次设计的版图符合要求。 关键字:BiCMOS,基准电压源,温度系数,版图 I

Subject: Research and Layout Design Of Bandgap Reference Specialty: Microelectronics Name: Zhong Ting (Signature)____Instructor: Liu Shulin (Signature)____ ABSTRACT The reference voltage source with relatively high precision and stability, temperature stability and noise immunity affect the accuracy and performance of the entire system. Analog circuit using the reference source, or in order to get the bias has nothing to do with power, or in order to be independent of temperature, bias, and its performance directly affects the performance and stability of the circuit shows that the reference source is an integral part of the sub-circuit, excellent reference source is the design of all electronic systems the most basic and critical requirements of one of the IC layout in order to achieve the output of integrated circuit design. The main purpose of this paper is the territory of the reference circuit and BiCMOS process to be verified. This paper first introduces the background of the trends and significance of the reference voltage source, and then briefly introduced the structure and working principle of the voltage reference circuit. Then introduces the layout design and verification tools to verify the design of the territory. This design uses a 40V 0.5u BiCMOS process database design and draw the layout.The simulation results show that the design of voltage reference temperature of -40 ° C ~ ~ 85 ° C, the output voltage of 2.5V and 1.25V. Finally, the Diva verification tool on the territory of the DRC and LVS verification, and validated, show that the territory of the design meet the requirements. Keywords: BiCMOS,band gap , temperature coefficient, layout II

带隙基准电压源设计

基于BiCMOS工艺的带隙基准电压源设计 叶鹏1,2,文光俊1,2,蔡竟业1, 王永平2 (1.电子科技大学 通信与信息工程学院,四川 成都 610054) (2.广州润芯信息技术有限公司,广东 广州 510663 ) 摘要:电压基准是模拟集成电路的重要单元模块,本文在0.35um BiCMOS工艺下设计了一个带隙基准电压源。仿真结果表明,该基准源电路在典型情况下输出电压为1.16302V,在-45℃~105℃范围内,其温度系数为3.6ppm/℃,在在电源电压为3V~3.6V范围内,参考电压从.16295V~1.16308V,变化了130uV,电源电压调整率为0.0186%/V。 关键字:带隙基准电压源;温度系数;电源电压调整率;BiCMOS 中图分类号 TN782 文献标识码 A A Veference Voltage Circuit Design on BiCMOS Technology YE Peng1,2,WEN Guang-jun1,2,CAI Jing-ye1,WANG Yong-ping2 (1 School of Communication and Information Engineering, University of Electronic Science and Technology of China, Chengdu Sichuan 610054) (2 Guangzhou Runxin Information Technology Co. LTD, Guangzhou Guangdong 510663) Abstract:voltage reference is a critical module in analog integrated circuit.this paper design a bandgap voltage reference,the simulation result demonstrate that the output voltage is 1.16302V in typical,the temperature coefficience is 3.6ppm/℃when temperature from -45℃ to 105℃,the reference voltage is from 1.16295V to 1.16308V when power voltage 3V~3.6V,the vary Is 130uV, Keywords: bandgap voltage source;temperature coefficience;Line Sensitivity;BiCMOS 1引言 设计基准电路的目的就是建立一个与电源和工艺无关,具有确定温度特性的直流电压或电流。基准源在模拟和混合集成电路中应用非常广泛,比如数据转换电路和稳压电路中。[1]在通常情况下,理想的基准电路是与温度、工艺参数以及电源电压无关的,但是实际中各种因素的影响不可避免,那么就要尽量减少各种不确定因素的影响。在设计时除了考虑温度、电源和工艺的不确定性以外,基准电路的其他一些参数也是十分关键的,如输出阻抗、输出噪声、功耗和版图面积。本文在分析了带隙基准电路原理的基础上,设计了一个低温度系数、低电源电压调整率的基准电压源。 2带隙基准电压源的原理

最新带隙基准电压源的基本原理

带隙基准电压源的基 本原理

电子知识 2015年10月23日 深圳华强北华强集团2号楼7楼 电池管理系统能实时监控电池状态,延长电池续航时间、避免电池过充过放的情况出现,在电子产品中起着至关重要的作用。特别是可穿戴设备的兴起对电池管理系统提出新的挑战,此次“消费电子电池管理系统技术论坛”,我们将邀请业界领先的半导体厂商、方案设计商与终端产品制造商,共探消费电子电池管理系统市场发展趋势及创新技术,助力设计/研发工程师显著改进电池管理系统,进而从技术的层面为业界解决电子产品的电池续航问题。 立即报名>> IBIS模型是一种基于V/I曲线对I/O BUFFER快速准确建模方法,是反映芯片驱动和接收电气特性一种国际标准,它提供一种标准文件格式来记录如驱动源输出阻抗、上升/下降时间及输入负载等参数,非常适合做振荡和串扰等高频效应计算与仿真。 IBIS本身只是一种文件格式,它说明在一标准IBIS文件中如何记录一个芯片驱动器和接收器不同参数,但并不说明这些被记录参数如何使用,这些参数需要由使用IBIS模型仿真工具来读取。欲使用IBIS进行实际仿真,需要先完成四件工作:获取有关芯片驱动器和接收器原始信息源;获取一种将原始数据转换为IBIS格式方法;提供用于仿真可被计算机识别布局布线信息;提供一种能够读取IBIS和布局布线格式并能够进行分析计算软件工具。 IBIS模型优点可以概括为:在I/O非线性方面能够提供准确模型,同时考虑了封装寄生参数与ESD结构;提供比结

构化方法更快仿真速度;可用于系统板级或多板信号完整性分析仿真。可用IBIS模型分析信号完整性问题包括:串扰、反射、振荡、上冲、下冲、不匹配阻抗、传输线分析、拓扑结构分析。IBIS尤其能够对高速振荡和串扰进行准确精细仿真,它可用于检测最坏情况上升时间条件下信号行为及一些用物理测试无法解决情况;模型可以免费从半导体厂商处获取,用户无需对模型付额外开销;兼容工业界广泛仿真平台。 IBIS模型核由一个包含电流、电压和时序方面信息列表组成。IBIS模型仿真速度比SPICE快很多,而精度只是稍有下降。非会聚是SPICE模型和仿真器一个问题,而在IBIS仿真中消除了这个问题。实际上,所有EDA供应商现在都支持IBIS模型,并且它们都很简便易用。大多数器件IBIS模型均可从互联网上免费获得。可以在同一个板上仿真几个不同厂商推出器件。 IBIS模型是一种基于V/I曲线对I/O BUFFER快速准确建模方法,是反映芯片驱动和接收电气特性一种国际标准,它提供一种标准文件格式来记录如驱动源输出阻抗、上升/下降时间及输入负载等参数,非常适合做振荡和串扰等高频效应计算与仿真。 IBIS本身只是一种文件格式,它说明在一标准IBIS文件中如何记录一个芯片驱动器和接收器不同参数,但并不说明这些被记录参数如何使用,这些参数需要由使用IBIS模型仿真工具来读取。欲使用IBIS进行实际仿真,需要先完成四件工作:获取有关芯片驱动器和接收器原始信息源;获取一种将原始数据转换为IBIS格式方法;提供用于仿真可被计算机识别布局布线信息;提供一种能够读取IBIS和布局布线格式并能够进行分析计算软件工具。

带隙基准电压源的基本原理

电子知识 2015年10月23日 深圳华强北华强集团2号楼7楼 电池管理系统能实时监控电池状态,延长电池续航时间、避免电池过充过放的情况出现,在电子产品中起着至关重要的作用。特别是可穿戴设备的兴起对电池管理系统提出新的挑战,此次“消费电子电池管理系统技术论坛”,我们将邀请业界领先的半导体厂商、方案设计商与终端产品制造商,共探消费电子电池管理系统市场发展趋势及创新技术,助力设计/研发工程师显著改进电池管理系统,进而从技术的层面为业界解决电子产品的电池续航问题。 立即报名>> IBIS模型是一种基于V/I曲线对I/O BUFFER快速准确建模方法,是反映芯片驱动和接收电气特性一种国际标准,它提供一种标准文件格式来记录如驱动源输出阻抗、上升/下降时间及输入负载等参数,非常适合做振荡和串扰等高频效应计算与仿真。 IBIS本身只是一种文件格式,它说明在一标准IBIS文件中如何记录一个芯片驱动器和接收器不同参数,但并不说明这些被记录参数如何使用,这些参数需要由使用IBIS模型仿真工具来读取。欲使用IBIS进行实际仿真,需要先完成四件工作:获取有关芯片驱动器和接收器原始信息源;获取一种将原始数据转换为IBIS格式方法;提供用于仿真可被计算机识别布局布线信息;提供一种能够读取IBIS和布局布线格式并能够进行分析计算软件工具。 IBIS模型优点可以概括为:在I/O非线性方面能够提供准确模型,同时考虑了封装寄生参数与ESD结构;提供比结构化

方法更快仿真速度;可用于系统板级或多板信号完整性分析仿真。可用IBIS模型分析信号完整性问题包括:串扰、反射、振荡、上冲、下冲、不匹配阻抗、传输线分析、拓扑结构分析。IBIS尤其能够对高速振荡和串扰进行准确精细仿真,它可用于检测最坏情况上升时间条件下信号行为及一些用物理测试无法解决情况;模型可以免费从半导体厂商处获取,用户无需对模型付额外开销;兼容工业界广泛仿真平台。 IBIS模型核由一个包含电流、电压和时序方面信息列表组成。IBIS模型仿真速度比SPICE快很多,而精度只是稍有下降。非会聚是SPICE模型和仿真器一个问题,而在IBIS仿真中消除了这个问题。实际上,所有EDA供应商现在都支持IBIS模型,并且它们都很简便易用。大多数器件IBIS模型均可从互联网上免费获得。可以在同一个板上仿真几个不同厂商推出器件。 IBIS模型是一种基于V/I曲线对I/O BUFFER快速准确建模方法,是反映芯片驱动和接收电气特性一种国际标准,它提供一种标准文件格式来记录如驱动源输出阻抗、上升/下降时间及输入负载等参数,非常适合做振荡和串扰等高频效应计算与仿真。 IBIS本身只是一种文件格式,它说明在一标准IBIS文件中如何记录一个芯片驱动器和接收器不同参数,但并不说明这些被记录参数如何使用,这些参数需要由使用IBIS模型仿真工具来读取。欲使用IBIS进行实际仿真,需要先完成四件工作:获取有关芯片驱动器和接收器原始信息源;获取一种将原始数据转换为IBIS格式方法;提供用于仿真可被计算机识别布局布线信息;提供一种能够读取IBIS和布局布线格式并能够进行分析计算软件工具。 IBIS模型优点可以概括为:在I/O非线性方面能够提供准

相关文档
相关文档 最新文档