文档库 最新最全的文档下载
当前位置:文档库 › 数电课设篮球计分板

数电课设篮球计分板

数电课设篮球计分板
数电课设篮球计分板

沈阳航空航天大学

课程设计

(说明书)

篮球计分牌的设计

班级。

学号。

学生姓名。

指导教师。

沈阳航空航天大学

课程设计任务书

课程名称数字逻辑课程设计

课程设计题目篮球记分牌设计

课程设计的内容及要求:

一、设计说明与技术指标

设计一个篮球记分牌电路,技术指标如下:

①得分有1分,2分,3分的情况,电路具有加分、减分和显示比分功能,比分显示

用两位数码管。

②带有24S进攻违例倒计时功能,可以进行暂停以及重新计时功能。

③如果进攻超时,喇叭发出两秒报警声音。

二、设计要求

1.在选择器件时,应考虑成本。

2.根据技术指标,通过分析计算确定电路和元器件参数。

3.画出电路原理图(元器件标准化,电路图规范化)。

三、实验要求

1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。

2.进行实验数据处理和分析。

四、推荐参考资料

1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年

五、按照要求撰写课程设计报告

成绩评定表:

指导教师签字:

2015 年12 月30 日

一、概述

篮球记分牌用于对篮球比赛的比赛双方实时记分。基于篮球比赛的特点,我们选取了专门的设计方案,用2个LED数码管显示比赛一方的得分,记分员可根据现场比赛得分情况实时记录各队的得分,并及时反馈到LED数码管上。

本文的设计主要利用常见的74LS系列集成电路芯片和555芯片,并通过划分功能模块进行各个部分的设计,最后完成了篮球记分牌设计,设计分为三个模块,分别是比分记分模块、24S计时模块、蜂鸣器2S倒计时模块。其中比分记分模块分为1分、2分、3分加减分设置,通过计数器得到不同的波形,通过74LS192进行加减分;24S倒计时和2S 蜂鸣器倒计时则是通过时钟脉冲采用的以555定时器构成的多谐振荡电路产生,定时电路是以74LS192为主要芯片构成的,辅以数码管和蜂鸣器构成警报与计时器功能,使比赛更趋于公平化。

本次设计的内容可以完成篮球比赛的记分功能:可以分别对两队进行记分包括加分和以防裁判判别失误的减分功能还可以进行记分清零,以便于进入下一场比赛。除了记分功能还包括24S倒计时功能:真切的还原比赛场景,24S进攻违例设置,每当一队进球得分后自动清零从24S重新计时。再24S基础上外加到时间后的2S警报功能:当计时为0时,报警器会响2S停止。

图1 篮球记分牌原理图

如图1所示篮球记分牌原理图,可以同时计两个队的分数,并且带有24S进攻违例,技术指标如下:

记分电路有三个记分弹簧开关,有四个控制加减和比分的开关;

24S进攻违纪有两个开关,一个是置零开关,控制开始,一个是暂停开关,控制暂停计时时间;

当24S后仍然没有进分,蜂鸣器就会响,两秒后自动停止。

三、电路设计

电路设计一共分为两个模块,分别为记分电路模块,倒计时模块。

1、记分电路模块

图2 记分模块原理图

脉冲的产生采用的是74LS60十进制计数器,其功能图1如图和表1所示。记分一共非为三个分别为1分,2分,3分,分别需要加减和付给不同的两队。记分模块分别为三个记分,1分,2分和3分。其具体原理就是将十进制计数器改为相应的进制。

输入一分:对一分键电路,在U1上,当从0000变化到0011时,QA与QB通过与非门接到EP,RD则通过一个开关来控制,当到达0011的时候,经过QA与QB的与非门出来的为零,使它保持0011的状态不变, QB输出的则是一个脉冲了。

输入二分:对二分键电路,在U2上,当从0000变化到0011时,QA与QB通过与非门接到EP,RD则通过一个开关来控制,当到达0011的时候,经过QA与QB的与非门出来的为零,使它保持0011的状态不变, QA输出的则是两个脉冲了。

输入三分:对三分键电路,在U3上,当从0000变化到0101时,QA与QC通过与非门接到EP,RD则通过一个开关来控制,当到达0011的时候,经过QA与QC的与非门出来的为零,使它保持0101的状态不变, QA输出的则是三个脉冲了。

通过四个开关切换电路为两队的加分减分,将异或门U11A接至U7、U8、U19的UP 端,为一队加法部分,将异或门U12A接至U7、U8、U19的DOWN端,为减法部分。从而控制比赛分数的加减。二队以此类推,将异或门U17A接至U13、U14、U20的UP端,为一队加法部分,将异或门U18A接至U7、U8、U19的DOWN端,为减法部分。开关计数置零控制U7、U8、U19、U13、U14、U20的CLR端接通电源,当开关闭合是,分数置零,开始重新计数。

用四个计数器和四个半导体数码管LED进行对分数的统计和显示,其电路图如下图4

图4 分数显示电路

所示,采用的是四片74LS192可逆十进制计数器,LED数码管接收来自74LS192的输出信号并显示出来。此外,显示电路中还包括记分置零的开关设置,其原理就是将CLR接低电平即可实现。

2、倒计时电路模块

倒计时模块由两片74LS192可逆十进制计数器和译码电路构成。LED数码管接收来自74LS192的输出信号并显示出来。74LS192的9,10,11,15引脚完成时间设定功能,本设计定时为24秒,所以把左边的芯片的1引脚接高电位,右边芯片的10引脚接高电位,其余的全接低电位,使计时器的初始时间设定为24秒。该电路主要完成四个功能:一是显示倒计时时间示数。

二是当有一队得分时,计数器会自动置零从24S开始计时。工作过程为:开始比赛前,74LS192的置数端为低电位,处于初始状态,数码管显示为24,5引脚接高电位。比赛开始后,秒脉冲推动U23开始倒记时,同时U23产生的信号由13引脚输出,做为U23的CP 信号推动U22倒记时,完成十进制的倒记时功能。当有人进球得分后U26的2B引脚会得到一个低电平的输入,会与其左侧的VCC相与得到一个低电平,就会使计时器回复初始值,就是设定的初始值24S,从新开始计时,达到了每队进行24S倒计时功能;

三是计时为0时蜂鸣器报警。工作过程为:当计数达到00时,会由U22的13端输出低电平,将它取反,与高电平相与接到蜂鸣器的一端,蜂鸣器的另一端接555的脉冲,即可是蜂鸣器报警;以上两点均可在图5中得到

图5 倒计时功能及蜂鸣器启动

四是蜂鸣器的2S报警。工作过程为:这个模块会用到74LS192计数器U28,将其初始值定位2,即1引脚接高电位,其余接地,LOAD接的是U22的13端的取反,所以只有在U22输出为低电平时,也就是计时为零时,U28开始工作,当计数为0时,U28的13端输出低电平与555的脉冲输出相与,得到低电平,使蜂鸣器停止工作。如图6所示

图6 蜂鸣器2S 倒计时

五是脉冲模块,其电路图,如图7所示。

图7 555脉冲产生器

由于本电路需要计时器,所以由555芯片构成周期为1秒的多谐振荡器。原理图如图6所示。其中由555芯片构成的多谐振荡器,振荡频率为:

2432430)2(43

.12

ln )2(1

C R R C R R f ?+=??+= (1)

由(1)公式知,通过计算可得,要使周期为近似为现实中的1秒,设定电阻R3,R4

C的值为10nF。这样多谐振荡器的周期就为1秒。

为51kΩ、47kΩ,电容2

通过以上的五个功能可以得到整个倒计时系统的大体模样,通过分析与整理,得到如图8所示的倒计时电路图。

图8 倒计时模块的总电路图

四、性能的测试

1、脉冲电路的测试

如表1所示,该脉冲信号输出波形为周期(T)=1s,频率(f)=1Hz的脉冲。

图9 脉冲电路周期

图10 脉冲电路周期

555脉冲电路周期如图10所示。

2、电路整体性能测试

整体性能测试其过程主要演示电路的运行过程,分为加分和减分过程,并观察其现象是否符合设计要求。以下为测试过程。

打开电路后,先将计数置零,并将倒计时置零,开始演示。首先,调试加分功能,以一队为例,将一队加分开关闭合,分别点击加3,加2和加1分,直至进位,如图11所示。功能正常运行。

图11 一队进球得分功能测试

减分调试,将一队加分开关断开,闭合一队减分开关,分别点击加3,加2和加1分,电路均正常运行,如图12所示。最后显示分数为5分,与预期效果相同,说明系统正常工作。

当24S仍然没有进球得分时,会触发报警,报警铃声响2S,如图12所示,当2S倒计时后,报警停止。还带有计时暂停功能,即断开计时暂停开关可实现。达到24S违例要求,并且带有警报提醒,与要求完全相同。如图13所示

对电路的整体测试结果完全符合实验最初设计要求,电路设计连接成功。

图12 一队减分功能测试

图13 倒计时报警

五、结论

电路的性能测试符合要求,能够很好的完成实验要求,并且更加智能化,让操作的步骤更少,其主要操作步骤是这样的,接通电源,将计时置零开关打到预置端,倒计时数码管显示24开始倒计时,此时若有一方进球得分,将重新计时。如果24S内双方一直没有没有进球的分,蜂鸣器会发生2S警报声。比赛过程中某队得分或减分可通过开关调控。

本实验设计电路结构简单,且运行正常,其主要利用常见的74LS系列集成电路芯片和555芯片,并通过划分功能模块进行各个部分的设计,最后完成了篮球记分牌设计,设计分为三个模块,分别是比分记分模块、24S计时模块、蜂鸣器2S倒计时模块。其中比分记分模块分为1分、2分、3分加减分设置,通过计数器得到不同的波形,通过74LS192进行加减分;24S倒计时和2S蜂鸣器倒计时则是通过时钟脉冲采用的以555定时器构成的多谐振荡电路产生,定时电路是以74LS192为主要芯片构成的,最后都能正常运作,无过多的干扰,是整个过程趋于稳定化。

参考文献

[1] 阎石主编.数字电子技术. [M]北京:高等教育出版社,2006年

[2] 崔葛瑾主编.数字电路实验基础.[M]上海:同济大学出版社,2005年

[3] 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年

[4] 王兆安,黄俊主编.电力电子技术.[M]北京:机械工业出版社,2000年

[5] 康华光主编.电子技术基础.[M]北京:高等教育出版社,1999年

[6] 黄永定主编.电子实验综合实训教程.[M]北京:机械工业出版社,2004年

[7] 高吉祥主编.电子技术基础实验与课程设计.[M]北京:电子工业出版社,2005年

[8] 康华英.电子技术基础. [M]北京:高等教育出版社,2006年

[9] 姚福安.电子电路设计与实践. [M]济南:山东科技大学出版社,2001年

[10] 彭介华.电子计数课程设计指导. [M]北京:高等教育出版社

附录I 总电路图

附录II 元器件清单

基于单片机的篮球计分器设计毕业设计

摘要 随着电子科技、信息通信技术的快速发展,嵌入式单片机应用越来越广泛。在HMOS技术大发展的背景下,Intel公司在MCS-48系列的基础上,于1980年推出了8位MCS-51系列单片机。它与以前的机型相比,功能增强了许多,就其指令和运行速度而言,超过了INTEL8085的CPU和Z80的CPU,成为工业控制系统中较为理想的机种。较早的MCS-51典型时钟为12MHz,而目前与MCS-51单片机兼容的一些单片机的时钟频率达到40MHz甚至更高,现在已有400MHz的单片机问世。篮球记分器是一种得分记录工具,由多种电子设备组成。以单片机为核心的篮球记分器造价便宜,使用简单,体积小等特点。

第1章绪论 1.1 单片机简述 单片机就是在一块半导体硅片上集成了微处理器(cpu),存储器(RAM,ROM,EPROM)和各种输入、输出接口(定时器/计数器,并行I/O接口,串行口,A/D转换器以及脉宽调制器PWM等),这样一块集成电路芯片具有一台计算机的属性,因此被称为单片微型计算机,简称单片机。 单片机主要应用于测控领域,用以实现各种测试和控制功能。为了强调其控制属性,在国际上,多把单片机称为微控制器MCU(MicroController Unit)。单片机自20世纪70年代问世以来,以极其高的性价比受到人们的重视和关注,所以应用很广,发展很快。单片机的优点是体积小、重量轻、抗干扰能力强,对环境要求不高,价格低廉,可靠性高,灵活性好,开发较为容易。例如,80C51系列单片机已有十多年的生命期,如今仍保持着上升的趋势,就充分证明了这一点。单片机以其一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统,数据采集系统、智能化仪器仪表,及通讯设备、日常消费类产品、玩具等。并且已经深入到工业生产的各个环节以及人民生活的各层次中,如车间流水线控制、自动化系统等、智能型家用电器等。而美国ATMEL公司开发生产了新型的8位单片机——AT89系列单片机。他不但具有一般MCS-51单片机的所有特性,而且还拥有一些独特的优点,此次设计中所用到的AT89C51就是其中典型的代表。 1.2 设计意义 单片机的应用是具有高度现实意义的。单片机极高的可靠性,微型性和智能性(我们只要编写不同的程序后就能够完成不同的控制工作),单片机已成为工业控制领域中普遍采用的智能化控制工具,已经深深地渗入到我们的日常生活当中。通过此次基于单片机设计的篮球计时计分系统,我们可以更清楚详细的了解单片机程序设计的基本指令功能、编程步骤和技巧来讲述单片机编程,并对MCS-51单片机的结构和原理进行讲述,以及基于单片机开发应用的相关芯片的工作原理,并且可以在将来的工作和学习中加以应用。

篮球赛记分牌设计分析

篮球赛记分牌设计 前言 篮球是一项充满乐趣的运动,打篮球不仅可以强身健体,而且能增进队友之间的相互信任。现在篮球普及率已经很高,打篮球不仅仅是专业运动员的运动,也是普通老百姓的活动,不管你是走在学校里,还是公园里,或者是小区里,都能看到篮球场,都能看到打篮球的人,篮球已成为我们大部分人生活里不可缺少的一部分,每天都能听到有人在谈论篮球,说说自己喜欢的NBA巨星……因为喜欢篮球,所以打篮球,时不时会来场激烈的比赛,但是传统的记分方式如粉笔或记计分人员手动翻动记分牌给我们带来诸多不便。随着社会的发展、科学技术的进步以及人们生活水平的不断提高,各种电子产品开始进入人们的生活,使人们的生活更加便利。篮球赛记分牌设计的实现使得我们轻轻松松几个按钮开关就能记下分数,让我们感受到科技给我们带来的巨变。 篮球比赛的胜负是由两支队伍在规定时间里得分多少来决定。由于比赛的不可重复性和绝对的公平性,决定了篮球赛记分牌系统是一个实用性很强、可靠性要求极高的以计算机技术为核心的电子服务系统。这种设备是篮球比赛中不可或缺的一种电子设备,篮球赛记分牌系统设计是否合理,关系到整个篮球比赛系统运行的稳定和可靠,并直接影响到整个篮球比赛的顺利进行。篮球赛记分牌系统是篮球比赛的重要工程项目,是关系到比赛成败的关键工程,这种记分牌还可以运用到其他体育竞赛,如足球、羽毛球。 1 系统总体设计方案 随着单片机[1]广泛应用于各领域,许多用单片机作控制的球赛记时记分系统也随之产生,例如用单片机控制LCD液晶显示器记时记分器和用单片机控制LED七段显示器计时计分器等。 本设计根据所学的C语言基础知识和一些编程思想,对所需要的一些硬件在市场上进行了调查比较,选择了比较简单,容易实现的51单片机,结合运用C语言思想进行方案设计,使用keil uVision软件编写程序。51单片机内部的定时器/计数器进行中断可以结合软件延时实现计时。采用的硬件核心是89C51芯片,其内部采用Flash ROM,具有4KB ROM存储空间,能与3V的超低压工作,电路设计中由于不具备ISP在线编程技术,可以运用STC-ISP烧入程序。这个设计实现的功能是记下双方比分,随时显示,能够随时更改双方比分,能够调整比赛的时间,还有暂停时间,能够在双方更换比赛场地时交换双方比分,时间走完时能发出比赛终止信号。

篮球比赛数字计分器

学年论文 (课程论文、课程设计) 题目:篮球比赛数字计分器 作者: 所在学院:信息科学与工程学院 专业年级:电信09-1 指导教师: 职称:讲师 2011年6月25日

摘要: 数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统。根据数字集成电路中包含的门电路或元、器件数量,可将数字集成电路分为小规模集成(SSI)电路、中规模集成MSI电路、大规模集成(LSI)电路、超大规模集成VLSI电路和特大规模集成(ULSI)电路。 应用的仿真工具Multisim是美国国家仪器(NI)有限公司推出的以Windows 为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE 仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 对应篮球比赛规则记分的系统的要求,篮球记分有1分、2分和3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使得计数器对分数进行统计,这需要三个脉冲分路。电路要具有加分、减分及显示的功能。综合应用数字电路初步设计,Multisim仿真和DXP的初步应用 关键词: 篮球计分器设计Multisim仿真DXP 引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

篮球计分器程序

#include #define uint unsigned int #define uchar unsigned char uchar code table[]=".. Play ball! ...."; uchar code table1[]="Welcome to here!" ; sbit key_ST=P1^5; //功能键(开始/暂停) sbit key_A1=P1^0; //功能键(A队分数加1) sbit key_A2=P1^3; //功能键(A队分数加2) sbit key_1A=P1^6; //功能键(A队分数减1) sbit key_B1=P1^1; //功能键(B队分数加1) sbit key_B2=P1^4; //功能键(B队分数加2) sbit key_1B=P1^7; //功能键(B队分数减1) sbit key_EX=P1^2; //功能键(交换场地,A/B两队分数交换) sbit key_JS=P3^0; //功能键(比赛节数加1) sbit FMQ=P3^3; //蜂鸣器 sbit lcdrs=P3^5; //LCD显示的数据/命令选择端 sbit lcden=P3^4; //LCD显示的使能信号 uchar flag,ms,mg,ss,sg,ags,agg,bgs,bgg,num,num1,num2,js=1,C,D,t,min=0,time_fmq; int sec=12; void delayms(uint xms) //定义延时函数 { uint i,j; for(i=xms;i>0;i--) for(j=110;j>0;j--); } void write_data(uchar date) //定义为数据模式{ lcdrs=1; P0=date; delayms(5); lcden=1; delayms(5); lcden=0; } void write_com(uchar com) //定义为命令模式 { lcdrs=0; P0=com;

篮球计分器设计报告

课程设计报告 课程名称:数字电路课程设计 设计题目:篮球比赛数字计分器 院(部):机械与电子工程电学院 专业:电气信息类 学生姓名: 曾吴广 学号: 2011211006 起止日期: 2013年6月7日-2013年6月22日 指导教师: 李玲纯华贵山

一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 三、电路的设计记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。

基于proteus篮球计时计分器(史上最牛,含详细程序)

基于proteus篮球计时计分器(史上最牛,含详细程序) #include #define uchar unsigned char #define uint unsigned int #define TH_value 0xb1 #define TL_value 0xe0 //定时器工作于方式1,每20ms产生一个中断 sbit speak=P3^7; sbit ext=P3^2; sbit led=P3^4; /**********BCD码字***************/ uchar code bcd[]={ 0x00,0x01,0x02,0x03, 0x04,0x05,0x06,0x07, 0x08,0x09,0x0A,0x0B, 0x0C,0x0D,0x0E,0x0F }; /************0~9数字显示**************/ uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07,

0x7f,0x6f,0x00}; uchar munite; //比赛剩余分位数 uchar second; //比赛剩余秒位数 uchar part; //当前比赛的节次 uchar s24; //24秒标志 uchar red_mark; //红队得分 uchar blue_mark; //蓝队得分 uchar key_move; //设置位移动 uchar key_red_add; //红队得分增加1 uchar key_red_mul; //红队得分减少1 uchar key_blue_add; //蓝队得分增加1 uchar key_blue_mul; //蓝队得分减少1 uchar key_pause; //暂停 uchar key_reset; //24秒复位及进入下一节复位 uchar time_tick1,time_tick2; uchar station; //状态标志位 uchar flag; //跳亮标志位 uchar talk1,talk2,num,num1; //报警信号标志 /*******函数声明********/ void init(); void init_timer(); void init_extra(); uchar key_scan(); void scanf(uchar p,uchar tw,uchar m,uchar s,uchar r,uchar b); void scanf1(uchar p,uchar r,uchar b); void scanf2(uchar tw,uchar r,uchar b); void scanf3(uchar m,uchar r,uchar b); void scanf4(uchar s,uchar r,uchar b); void play24(); void playstop1(); void playstop2(); /*********延时程序,t=1时,延时1ms************/ void delay(uchar t) { int i,j; for(j=0;j

数电课程设计--篮球计分器设计

《数字电子技术》课程设计题目:篮球比赛记分器设计 学院:信息科学与技术学院 专业:电子信息工程 班级:2010级(2)班 姓名:马慧2010508121 张驰2010508125 2012年7月6日

篮球比赛记分器设计 一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

三、电路的设计 1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、

篮球记分牌verilog设计

数字逻辑设计及应用课程设计报告 姓名: 学号: 选课号:79 设计题号:23

一.设计题目 篮球比赛数字计分牌 二.设计要求 1.分别记录两队得分情况; 2.进球得分加2分,罚球进球得分加1分; 3.纠正错判得分减2分或1分; 4.分别用三个数码管显示器记录两队的得分情况。 三.设计过程 (一)设计方案 1.模块设计 题目中要用三个数码管来记录两队的得分情况,本文采用输出为8421bcd码,外接译码器和数码管的方式来实现。 先设计一个带有进位(co)和借位(ci)输出的模块pad,输出端(num)输出4位8421bcd码外接译码器和数码管,pad模块还带有加一输入端(a1),加二输入端(a2),减一输入端(d1),减二输入端(d2)。输入端与开关相接,操作者按下开关即给该端口一个脉冲信号,各输入端口由上升沿触发。如果操作者同时按下多个端口,输出端口将保持原来的信号不变。pad模块功能图见图1-1。 图1-1 pad模块输入输出端口及功能

然后将三个相同的pad模块进行级联,构造为新的模块numberpad,从而得到带有三个数码管的篮球记分牌。甲乙两队都将分别使用这个记分牌。级联图见图1-2。 图1-2 pad模块级联图 2.模块内部的算法流程 每个模块有四个输入端口a1,a2,d1,d2来进行触发,触发事件太多,因此构造rem=a1|a2|d1|d2作为新的触发信号。因此,只要a1,a2,d1,d2中任意一个按键被按下,将会发出一个脉冲,rem也就会产生一个脉冲。但是可能出现多个按键同时按下的情况,这样会产生冲突。所以在always语句块中,进行判断,看是否a1,a2,d1,d2中只有一个处于高电平,若同时处于高电平,则输出维持原来的值不变。 判断完端口a1,a2,d1,d2中哪一个输入了以后,就要进行加1,加2,减1,减2的操作。 加1分为以下情况:(1)若num已经计数到9即1001,再加1则num应变为0000,进位端co输出1;(2)若num不为9,则直接加1,co输出0。 加2分为以下情况:(1)若num已经计数到8即1000,再加2则num应变为0000,进位端co输出1;(2)num已经计数到9即1001。再加2则num应变为0001,进位端co 输出1;(3)若num不为8或9,则直接加2,co输出0/。 减1分为以下情况:(1)若num此时为0,再减1则num应变为9即1001,借位端ci 输出1;(2)若num不为0,则直接减1,ci输出0。 减2分为以下情况:(1)若num此时为0,再减2则num应变为8即1000,借位端ci 输出1;(2)若num此时为1,再减2则num应变为9即1001,借位端ci输出1;(3)若num不为0或1,则直接减2,ci输出0 算法流程图见图1-3

篮球比赛计分器设计93684

单片机课程设计报告 篮球比赛计分器设计 姓名: 学号: 专业班级: 指导老师: 所在学院: 年月日

摘要 本设计是采用单片机AT89C52作为本设计的核心元件。利用7段共阴LED 作为显示器件。在此设计中共接入了8个7段共阴LED显示器,其中4个用于记录AB队的分数,每队2个LED显示器显示范围可达到0~99分,足够满足赛程需要,另外4个LED显示器则用来记录赛程时间,其中2个用于显示分钟,2个用于显示秒钟。赛程计时采用倒计时方式。即比赛前将时间设置好,比赛开始时启动计时,直至计时到零为止。计时范围可达到0~99分钟,也完全满足实际赛程的需要。当比赛队A对得1分时,按下A+1键;得2分时,按下A+2键;得3分时,按下A+3键;当加分出现错误时,可以按A-1键减1分,可以达到调整分数的作用;依照同样的方法可以记录B对的得分。 采用单片机控制使这个系统按键操作使用简洁,LED显示,安装方便。解决了篮球比赛计分器的安装问题,节约了线材,适合在各种规模的体育场馆使用,完全可以代替传统的用钟表进行计时的方法,当然稍加改动也可以用于其他球类比赛,是体育器材向智能化发展的一个实例。 本设计可以实现:1、能记录整个赛程的比赛时间,并能修改比赛时间 2、能随时刷新甲、乙两队在整个过程中的比分 3、中场交换比赛场地时,能交换甲、乙两队比分的位置 4、比赛结束时,能发出报警声,等功能

1 概述 3 1.1设计意义 (3) 1.2设计内容 (4) 1.3设计任务和要求 (4) 2 系统总体方案及硬件设计 4 2.1AT89C52简介 (4) 2.2数码管显示原理 (6) 2.3总体方案: (7) 2.4硬件原理图: (8) 3 软件设计 7 3.1主流程图: (7) 4.PROTEUS软件仿真8 5、课程设计体会10 附1:源程序代码11 附2 系统原理图21

篮球计分器课程设计

郑州科技学院 《数字电子技术》课程设计 题目篮球比赛计分的设计 学生姓名 专业班级通信工程 学号 院(系) 指导教师 完成时间 2014年12月 5日

目录 1 课程设计的目的 (1) 2 课程设计的任务与要求 (1) 3 设计方案与论证 (1) 4 单元电路的设计 (2) 4.1 单元电路 (2) 4.2 电路的设计 (4) 5 硬件的制作与调试 (6) 6 总结 (7) 参考文献 (10) 附录1:总体电路原理图 (11) 附录2:元器件清单 (12) 附录3:实物图 (13)

1 课程设计的目的 (1)熟悉中规模集成可逆计数器,译码器和显示器的功能; (2)培养创造性地运用所学知识进行数字系统设计的能力和兴趣; (3)了解数字系统的实验及调试方法,以及一般故障的排除方法。 (4)了解555定时器的功能作用和分类。 2 课程设计的任务与要求 (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)显示器可清零。 3 设计方案与论证 据篮球比赛情况,有得1、2、3分的情况,还有减分的情况,电路要具有加、减分显示的功能。 用3片四位二进制加法计数器74LS161组成一、二、三进制计数器,控制加2、3分的计数脉冲,3片十进制可逆计数器74LS192组成的加、减分计数器用于总分累加,最多可计999。3片七段共阴极数码管构成显示起用于显示分数。 设计方案有多种,这次找出两种方案如下: 方案1:数字逻辑电路控制方案 数字逻辑电路控制系统主要由各种逻辑元件构成,包括计数器、触发器以及各种门电路,硬件设计思路非常简单,造价低廉,元件少,体积小,稳定性好,可靠性和性价比都很高。缺点在于功能实现后电路结构复杂,维护起来比较困难。 在本设计中,采用数字逻辑电路控制系统,与单片机相比,该电路具

篮球比赛数字记分牌的设计方案

目录 1 课程设计题目`内容与要求……………………… 设计内容 具体要求 2系统设计………………………… 设计思路 系统原理 3 系统实现…………………………………………… 4 系统仿真……………………………………………5硬件验证(操作)说明……………………………… 6 总结…………………………………………………

7参考书目…………………………………………… 一、课程设计题目、内容与要求 课程设计的题目:篮球比赛记分牌 课程设计内容: 1、根据比赛实际情况记录两队得分,罚球进的1分,进球的2分; 2、记分牌要具有纠错功能,能减1分、2分功能; 3、利用3个译码显示管输出比赛的分; 二、系统设计 设计思路: 篮球比赛记分牌是记录两队比赛的得分情况,并能够进行纠错功能;根据系统设计的要求,篮球记分牌的电路原理框图如下:

系统原理与设计说明 系统各个模块的功能如下: 1、D触发器电路模块实现翻转功能当出错时,输出为1,使电路回到上一个正确的状态。 2、4为二进制全加器电路模块实现加法计数功能。 3、移位寄存器电路模块保存比赛两队得分情况的4个相邻状态,出错时将调用上一个正确状态。 4、二选一数据选择器电路模块用来控制移位寄存器 5、 LED数码管驱动电路模块 三、系统实现 各模块电路的源程序如下: 1、D触发器电路模块及程序:

set输入(Q=1),清零应该可以用复位键reset吧(Q=0)。library ieee; use entity sync_rsdff is port(d,clk : in std_logic; set : in std_logic; reset: in std_logic; q,qb : out std_logic); end sync_rsdff; architecture rtl_arc of sync_rsdff is begin process(clk) begin if (clk'event and clk='1') then if(set='0' and reset='1') then q<='1'; qb<='0'; elsif (set='1' and reset='0') then q<='0'; qb<='1'; else

2020年篮球计分器开题报告

篮球计分器开题报告 篮球计分是篮球比赛的准备基础,关于它的设计也能影响到篮球的比赛。 石河子大学 毕业论文开题报告 课题名称:基于单片机的便携式篮球计分计时系统设计 学生姓名:朱宝军 学号:学院:机械电气工程学院 专业、年级:电气工程及其自动化12级2班 指导教师:张晓海 职称:副教授 毕业论文(设计)起止时间: 目录 1课题名称及 (1) 2研究的目的意义及国内外研究现状 (1) 2.1选题的目的及意义 (1)

2.2国内外研究现状 (1) 3课题的研究内容、目标及可行性分析 (2) 3.1研究内容 (2) 3.2研究思路和方法 (2) 3.3研究目标 (2) 3.4可行性分析 (3) 4关键问题和难点分析 (3) 4.1课题研究的关键问题 (3)

4.2课题研究的难点分析 (3) 4.3进度计划 (3) 参考文献 (4) 1课题名称及: 名称:基于单片机的便携式篮球计分计时系统设计 :老师推荐 2研究的目的、意义和国内外研究现状: 2.1选题的目的及意义 进入21世纪,伴随着电子,信息通信技术的应用与普及开发,人们对电子技术的要求也越来越高。目前嵌入式单片机渗透到我们生活的各个领域,按照历史性、本质性、普遍性要求,嵌入式系统应定义为:“嵌入到对象体系中的专用计算机系统”。对于嵌入式即可分软件部分和硬件部分。以MCU为核心,就是各种各样的单片机,是嵌入式硬件部分的实现方式之一,它主要是因为把处理器和存储器等部件集成在一块芯片上。 在探索单片机的发展道路时,有过两种模式,即“Σ模式”与“创新模式”。“Σ模式”将通用计算机系统中的基本单元进行裁剪

篮球计分器设计毕业设计

目录 第一部分设计任务与调研 (3) 第二部分设计说明 (5) 第三部分设计成果 (10) 第四部分结束语 (15) 第五部分致谢 (17) 第六部分参考文献 (18) 第七部分附录 (19)

第一部分设计任务与调研 一、调研 体育比赛记分系统是对体育比赛过程中所产生的比分等数据进行快速采集记录,加工处理,传递利用的信息系统。根据不同运动项目的不同比赛规则要求,体育比赛的记分系统包括测量类,评分类,命中类,制胜类得分类等多种类型。 比如篮球比赛是根据运动队在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的记分系统是一种得分类型的系统。篮球比赛的记分系统由计分器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛记分系统设备应能够与现场成绩处理,现场大屏幕,电视转播车等多种设备相联,以便实现高比赛现场感,表演娱乐观众等功能目标。 由于单片机的集成度高,功能强,通用性好,特别是它具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点,使单片机迅速得到了推广应用,目前已经成为测量控制应用系统中的优选机种和新电子产品的关键部位。世界各大电气厂家,测控技术企业,机电行业,竞相把单片机应用于产品更新,作为实现数字化,智能化的核心部件。篮球计时计分器就是以单片机为核心的计时计分系统,由计时器,计分器,综合控制器和24秒控制器等组成。 二、设计任务 (1)给甲、乙两队分别设置加分按钮,各按钮按下分别实现给甲、乙队加1~9分。 (2)给甲、乙两队分别设置减分按钮,各按钮按下分别实现给甲、乙队减1~9分。 (3)设置一个复位按钮,按下实现甲、乙队总分回到初试分及显示

【篮球记分牌】篮球记分牌课程设计实验报告

【篮球记分牌】篮球记分牌课程设计实验报告 《【篮球记分牌】篮球记分牌课程设计实验报告》篮球记分牌课程设计实验报告 XX学校电子技术课程设计报告 题目学院自动化与电气工程学院专 业班级学号学生姓名指导教师完成日期 201X年 XX月XX日 篮球记分牌 摘要 围绕设计要求对篮球记分牌进行设计。首先根据功能要求提出单片机STC89S52RC为控制核心的设计方案;其次进行硬件系统和软件系统的设计,并且根据本次设计所用的V3.72开发板原理图进行设计,然后根据所设计的电路图级程序用Proteus和Keil 进行了仿真,验证了设计方案的正确性,最后,把程序烧进开发板中来完成篮球记分牌的硬件电路。调试和测试结果表明基本上都能满足此次课程设计的要求。本次课程设计,有效加深和巩固了单片机的基础知识,提高了我们的实际动手能力,具有及其深远的意义。 关键词:单片机独立按键蜂鸣器 at24c02 数码管 一、设计要求

1.比赛开始,比分能够全部清零,数码管前2位,末二位做记分用,当中2位做24秒倒计时用。 2.24秒倒计时时间到,蜂鸣器响、指示灯亮,重新开始倒计时(按下开始键),蜂鸣器停止鸣叫、 指示灯灭。 3.比分进行增加后,倒计时从24秒重新开始倒计时。任意时刻24秒可以重新开始倒计时。必 要时候能够关闭倒计时。 4.利用AT24C02或者单片机内部资源保存比分,支持复位保存,掉电保存功能。 二、系统设计及仿真 2.1、系统总体设计 图2-1系统结构图 思想汇报专题本系统采用单片机STC89S52RC为控制核心,系统主要包括显示模块、按键控制模块、蜂鸣器报警模块等。下面对各模块的设计进行论证。 1) 显示模块 单片机系统中,往往需要多位显示。动态显示是一种最常见的多位显示方法,应用非常广泛。所有数码管段选都连接在一起的时候,怎么让数码管显示不一样的数字呢?动态显示是多个数码管,交替显示,利用人的视觉暂停作用使人看到多个数码管同时显示的效果。

篮球比赛计分器设计

学号: 本科毕业设计 学院 专业 年级 姓名 论文题目篮球比赛计分器设计 指导教师职称 年月日

目录 摘要 (1) Abstract (1) 1绪论 (2) 1.1篮球比赛计分器设计的研究的背景 (2) 1.2研究内容 (2) 1.3设计目标 (3) 2方案选择与论证 (3) 2.1方案一 (3) 2.2方案二 (4) 2.3方案三 (4) 2.4方案选择 (5) 3单元电路设计 (5) 3.1单片机简述 (5) 3.2振荡电路 (6) 3.3控制按键电路 (7) 3.4复位电路 (7) 3.5报警时钟电路 (8) 3.6数码管显示电路 (8) 4软件设计 (10) 4.1 主流程图 (10) 4.2 源程序代码 (11) 5调试结果及分析 (11) 6 结论 (12) 参考文献 (13)

篮球比赛计分器设计 摘要:篮球比赛计分器主要由计分器、计时器等多种电子器件组成。篮球比赛计分器主要实现的基本功能有:开始和暂停、比赛时间倒计时、24秒倒计时、加分操作、蜂鸣报警、时间和比分显示的基本功能。本设计具备比赛过程中数据显示的稳定性及准确性;在比赛进入倒计时24秒之后,该系统会有报警声,即该场比赛结束。随着单片机在各个领域的应用,篮球比赛积分器系统也应用单片机为主要芯片。在用单片机控制的LED七段显示器计时计分以便于在比赛中提高稳定性和准确性。本设计是基于单片机AT89C51的篮球比赛计分系统。利用三个四位8段数码管作为显示器件,有时间显示,每一次进攻24秒显示,每一节分钟倒计时显示,双方比分显示。 关键词:篮球比赛;计分器;24秒倒计时 The design of basketball game scoring device Abstract:Basketball game scoring devices mainly includes scoring devices, calculagraph, and other electronic devices. The basic functions of a basketball game are: start and pause, the game countdown, a 24-second countdown, adding scores, buzzing alarm, displaying time and scores. This design is stable and accurate. When the game is 24 seconds left, the alarm will ring. Then it means game is over. With the application of SCM in various fields, the basketball game system also adopts SCM as the main chip. By using the LED seven-segment display controlled by SCM, it will be more stable and accurate in the game. This design is mainly talking about the scoring system which is based on SCM AT89c51. Using three four eight-segment as display devices, it shows time, every 24 seconds, every minute countdown, scores between two sides. Key words: Basketball game, scoring device, 24 seconds countdown

篮球计分器FPGA附程序讲课教案

篮球计分器F P G A附 程序

单片机接口实验 篮球计分器的设计 姓名: 苗茂宇 班级: 14级12班 学号: 1408202007 导师: 党选举 专业: 控制科学与工程 成绩评定 目录

摘要 (1) Abstract (1) 1. FPGA及系统设计概述 (2) 1.1. EDA简介 (2) 1.1.1. EDA定义 (2) 1.1.2. EDA发展概述 (2) 1.1.3. HDL概述 (3) 1.1.4. 总结 (4) 1.2. FPGA简介 (4) 1.2.1. FPGA概述 (4) 1.2.2. FPGA原理 (5) 1.3. LCD1602 (6) 1.4. 产品现状及发展 (7) 1.5. 总体设计要求 (8) 1.5.1. 设计流程 (8) 1.5.2. 设计要求 (8) 1.6. 本章小结 (8) 2. 实验方案设计 (9) 2.1. 分频模块 (9) 2.2. 计时模块 (10) 2.3. 24秒计时模块 (12) 2.4. 计分模块 (14) 2.5. lcd驱动模块 (15) 2.6. 本章小结 (22) 3. 实验结论 (22) 4. 结束语 (23) 参考文献 (24) 附录 1 (25)

摘要 FPGA(现场可编程逻辑器件)以其体积小、功耗低、稳定性高等优点被广泛应用于各类电子产品的设计中。FPGA是基于硬件可编程的器件,设计者需要掌握硬件描述语言,Verilog HDL就是一种硬件描述语言。Verilog HDL语言是在C语言的基础上发展而来的,语法结构上与C语言有很多相似之处,继承了C语言的语法结构,但是其与C语言有着本质上的区别。本设计是基于FPGA 的篮球计时计分系统的设计,结合篮球比赛的实际过程,对系统进行了模块化设计,最终组合调试。硬件实验表明本系统计时计分准确,实时性好,能够很好的反应比赛进度,此次设计达到了设计预期。 关键词:FPGA;Verilog HDL;计时计分;模块化 Abstract FPGA (field programmable logic device) with its small size, low power consumption, high stability has been widely used in various types of electronic products design. FPGA is a programmable device based on hardware, designers need to master the hardware description language, Verilog HDL is a hardware description language. Verilog HDL language is developed on the basis of C language, grammar structure and C language have many similarities, inherited the grammar structure of C language and C language, but there's a difference between. This design is the design of FPGA basketball timing and scoring system based on the actual process, combined with the game of basketball, the system of modular design, the final assembly debugging. Hardware experiments show that the system timing accuracy, good real-time performance, can very good response competition schedule, the design achieves the expected design. Keywords: FPGA;Verilog HDL ; timing and scoring; modular

篮球赛计时计分器课程设计

电子与电气工程学院 课程设计报告 课程名称电子技术课程设计 设计题目计分器 专业名称电子科学与技术 班级 13级 2班 学号2013210825 学生姓名唐前昆 指导教师王欢 2015年06 月02日

目录 第1章系统概述 (1) 1.1 功能简述 (1) 1.2 按钮设置 (1) 第2章总体方案设计 (2) 2.1 系统框图 (2) 2.2 软件总体设计 (2) 第3章系统硬件设计 (3) 3.1 80C51单片机 (3) 3.2 3×4矩阵式键盘 (3) 3.3 8段数码管显示器 (3) 3.4 系统原理图 (3) 第4章软件设计 (4) 4.1 主函数设计 (4) 4.2按键码获取,按键处理函数 (5) 4.3显示子函数 (6) 4.4延时子函数 (7) 第5章系统的安装调试说明 (8) 5.1 软件调试 (8) 5.2 软硬联调 (8) 总结 (9) 参考文献 (10) 附录A 系统原理图 (11) 附录B 源程序清单 (12)

第1章系统概述 1.1 功能简述 本设计内容为比赛计分器,主要用于各种体育比赛记录分数。采用矩阵式键盘作为输入,用户可分别对两队比分进行加1、加2和减1减2操作,其加减1,2分可以通过加减1分、2分的切换按钮实现,并通过指示灯显示其每次按下加减分键所加减的分值。可以实现预置分。比分通过4个8段数码管显示器进行显示,每队比分显示2位, 1.2 按钮设置 计分器应该有7个按键分别标注于原理图,见图1-1。 图1-1按钮功能图 其中1/2分切换由发光二极管指示,加1减1分别对应。预置分是事先设定分数可以分别设定甲乙两队的初始分数。按下清零后,显示的分数清零。

篮球记分牌数电课程设计

数字逻辑电路 课程设计报告 院(部):信息工程学院 专业:通信工程 班级:通信二班 姓名:陈俊达 学号:20150303203 成绩: 指导老师:李海霞 开课时间:2016-2017 学年 2 学期

课程设计任务书 一、设计题目 篮球记分牌 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、要求完成的主要功能 1、自选器件,要求甲乙双方各显示为三位数(可显示至百位) 2、分别用三个按钮,给记分牌加减1、2、3分。 3、用一个开关实现加减控制 4、每次篮球比赛计分后用一个开关给系统清零,使系统复位,准备下一次 比赛实验。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩20%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩30%;

4、考勤情况,占总成绩20%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

篮球计分器课程设计

机械与电子工程学院 课程设计报告 课程名称单片机原理及接口技术设计题目篮球计分器的设计 所学专业名称自动化 班级111 学号011123 学生姓名小微 指导教师王老师 2014年5月10日

机电学院数字电子技术课程设计 任务书 设计名称:篮球计分器的设计 学生姓名:小微指导教师:王老师 起止时间:自2013 年 5 月12 日起至2013 年 5 月26 日止 一、课程设计目的 设计一种基于单片机技术对篮球比赛进行简单计分的篮球计分器。 二、课程设计任务: 1、能记录整个赛程的比赛时间,并能随时实现暂停。 2、能随时刷新甲、乙两队在整个过程中的比分, 加分有误时可通过按键实现减分调整。 3、中场交换比赛场地时,能自动交换甲、乙两队比分的位置。 4、比赛结束时,能发出报警声。 5需要调整比赛时间,可通过按键进行加时和减时的调整。 三、基本要求: 1、允许采用MCS-51系列或其他类型单片机。 2、系统程序采用汇编或C语言编制。 3、硬件原理图采用proteus软件绘制。 机电学院单片机课程设计

指导老师评价表

摘要与关键字 (1) 1.绪论 (2) 1.1设计背景 (2) 1.2计任务及要求 (2) 2.系统总体方案设计 (3) 2.1方案设计与选择 (3) 方案一: (3) 方案二: (4) 方案确立: (4) 3.系统硬件设计 (5) 3.1篮球计分器硬件设计的基本要求 (5) 3.1.1硬件设计基本要求 (5) 3.1.2引脚应用说明 (5) 3.1.3引脚排列图 (6) 3.2显示电路 (7) 3.2.1显示电路设计 (7) 3.2.2按键设计 (8) 3.4晶振电路模块 (8) 3.5复位电路模块 (9) 3.6报警模块 (9) 4. 系统程序设计 (10) 4.1 设计思想 (10) 4.2 主程序设计 (10) 5.仿真验证 (12) 6.结论 (13) 参考文献 (14) 附录一 (15) 附录二 (16)

相关文档
相关文档 最新文档