文档库 最新最全的文档下载
当前位置:文档库 › 实验二 运算器实验

实验二 运算器实验

实验二 运算器实验
实验二 运算器实验

实验二运算器实验

1.算术逻辑运算实验

一.实验目的

1.了解简单运算器的数据传输通路。

2.验证运算功能发生器的组合功能。

3.掌握算术逻辑运算加、减、与的工作原理。

4.验证实验台运算的8位加、减、与、直通功能。

5.按给定数据,完成几种指定的算术和逻辑运算。

二.实验内容

1.实验原理

算术逻辑单元ALU的数据通路如图2-1所示。其中运算器ALU181根据74LS181的功能用VHDL硬件描述语言编辑而成,构成8位字长的ALU。参加运算的两个8位数据分别为A[7..0]和B[7..0],运算模式由S[3..0]的16种组合决定,而S[3..0]的值由4位2进制计数器LPM_COUNTER产生,计数时钟是Sclk(图2-1);此外,设M=0,选择算术运

算,M=1为逻辑运算,C

N 为低位的进位位;F[7..0]为输出结果,C

O

为运算后的输出进位

位。两个8位数据由总线IN[7..0]分别通过两个电平锁存器74373锁入,ALU功能如表2-1所示。

表2-1 ALU181的运算功能

注1、* 表示每一位都移至下一更高有效位, “+”是逻辑或,“加”是算术加

注2、在借位减法表达上,表2-1与标准的74181的真值表略有不同。

三.实验步骤

(1)设计ALU元件

在Quartus II 环境下,用文本输入编辑器Text Editor输入ALU181.VHD算术逻辑单元文件,编译VHDL文件,并将ALU181.VHD文件制作成一个可调用的原理图元件。

(2)以原理图方式建立顶层文件工程

选择图形方式。根据图2-1输入实验电路图,从Quartus II的基本元件库中将各元件调入图形编辑窗口、连线,添加输入输出引脚。

将所设计的图形文件ALU.bdf保存到原先建立的文件夹中,将当前文件设置成工程文件,以后的操作就都是对当前工程文件进行的。

(3)器件选择

选择Cyclone系列,在Devices中选择器件EP1C6QC240C8。编译,引脚锁定,再编译。

引脚锁定后需要再次进行编译,才能将锁定信息确定下来,同时生成芯片编程/配置所需要的各种文件。

(4)芯片编程Programming(可以直接选择光盘中的示例已完成的设计进行验证实验)打开编程窗口。将配置文件ALU.sof下载进GW48系列现代计算机组成原理系统中的FPGA

中。

(5)选择实验系统的电路模式是NO.0,验证ALU的运算器的算术运算和逻辑运算功能根据表2-1,从键盘输入数据A[7..0]和B[7..0],并设置S[3..0]、M、Cy,验证ALU 运算器的算术运算和逻辑运算功能,记录实验数据。

图2-1 算术逻辑单元ALU实验原理图

四.实验过程

(1)按图2-1所示,在本验证性示例中用数据选择开关(键3控制)的高/低电平选择总线通道上的8位数据进入对应的74373中;即首先将键3输入高电平,用键2、键1分别向A[7..0] 置数01010101(55H),这时在数码管4/3上显示输入的数据(55H);

然后用键3输入低电平,再用键2、键1分别向B[7..0]置数10101010(AAH),这时在数码管2/1上显示输入的数据(AAH);这时表示在图2-1中的两个74373锁存器中分别被锁入了加数55H和被加数AAH。可双击图2-1的ALU181元件,了解其VHDL描述。

(2)设定键8为低电平,即M=0(允许算术操作),键6控制时钟SCLK,可设置表2-1的S[3..0]=0 ~ F。现连续按动键6,设置操作方式选择S[3..0]=9(加法操作),使数码管8显示9,以验证ALU的算术运算功能:当键7设置cn=0(最低位无进位)时,数码管7/6/5=0FF(55H+AAH=0FFH);

当键7设置cn=1(最低位有进位)时,数码管7/6/5=100(55H+AAH+1=100H);(3)若设定键8为高电平,即M=1,键KEY6控制时钟SCLK,设置S[3..0]=0~F,KEY7设置cn=0或cn=1,验证ALU的逻辑运算功能,并记录实验数据。

表2-2 A[7..0],B[7..0]设置值检查

(4) 验证ALU181的算术运算和逻辑运算功能,ALU181模块功能可参照表2-1。

表2-3给定了寄存器DRl=A[7..0]和DR2=B[7..0]的数据(十六进制),要求根据此数据对照逻辑功能表所得的理论值(要求课前完成)与实验结果值进行比较(均采用正逻辑

0)。

(5)表2-4列出了8种常用的算术与逻辑运算要求指定的操作内容,正确选择运算器数据通

路、控制参数S3、S2、S1、S0、M,并将实验结果值填入括号内,表中给定原始数据DR1=A[7..0]和DR2=B[7..0],以后的数据取自前面运算的结果。

表2-3

表2-4 8种常用的算术与逻辑运算

五.实验要求

1、做好实验预习,掌握运算器的数据传送通路和ALU的功能特性,并熟悉本实验中所

用的控制台开关的作用和使用方法。

2、写出实验报告,内容是:

①实验目的;

②按理论分析值填写好表2-2、表2-3和表2-4,给出对应的仿真波形。

③列表比较实验数据(2)的理论分析值与实验结果值;并对结果进行分析。实验

结果与理论分析值比较,有没有不同?为什么?

④通过本实验,你对运算器ALU有何认识,有什么心得体会?

六.思考题

1.用VHDL实现输入暂存器74373B的功能,及模式选择计数器LPM_COUNTER的功能。

2.用VHDL表达整个ALU实验电路的功能,对电路进行仿真、引脚锁定、并在实验台

上实现其功能。

3.用VHDL设计一个简化的8位alu,具有基本算术运算(加、减、带进位加、减)功能和逻辑运算(与AND、或OR、异或XOR、非NOT等)功能,给出仿真波形,

并在实验台上实现。

4.用VHDL设计一个16位的ALU,实现基本的算术逻辑运算,为了节省逻辑资源,建议使用两个8位ALU模块级联而成。

5.对ALU181进行算术运算和逻辑运算的功能仿真,并记录仿真波形。

2.带进位算术运算实验

一.实验目的

1.验证带进位控制的算术运算功能发生器的功能。

2.按指定数据完几种指定的算术运算。

二.实验原理

在实验(1)的基础上增加进位控制电路,将运算器ALU181的进位位送入D锁存器,

由T

4和CN控制其写入,在此,T

4

是由键5产生的脉冲信号,这时,CN的功能是电平

控制信号(高电平时,CN有效),控制是否允许将进位信号co加入下一加法周期的最低进位位,从而可实现带进位控制运算。

图2-2A带进位控制的ALU

三.实验步骤

(1)根据电路图2-2A和波形图B,首先使键5(T4)和键7(CN)=0;键8(M)和键3

(A0_B1)=1;连续按键6,使产生9个脉冲,这时数码管8显示9(作加法运算);

再用键2,键1输入加数9DH(数码管4/3显示9D);

(2)按键3=0,再用键2,键1输入被加数E5H(数码管4、3、2、1分别显示加数和被加数);再将键8(M)置0,使ALU作算术运算,这时可以从数码管6,5上看到9DH+E5H=82H(低8位和);

(3)先将键7(CN)置为1(允许锁存ALU的进位),再用键5(T4)产生一个正脉冲,就能将进位锁入D触发器中:数码管7将显示1,表示加法有进位,并被锁;同时可以看到此进位被累加,使数码管6,5=83H。

(4)置键8=1,在实验箱上作逻辑运算方面的实验,给出相应的仿真波形图;

(5)利用带进位控制,控制T4,分别由低到高输入3个8位加数和被加数,计算24位加法:

7AC5E9 H+ BD5AF8H = ?最后按照下表完成实验,记录实验数据,给出对应仿真波形图。

表2-5

四.实验要求

1、做好实验预习,掌握带进位控制的算术运算功能发生器的功能特性。

2、写出实验报告,内容是:①实验目的;②按理论分析值填写表2-5。③列表比较实验

数据的理论分析值与实验结果值;并对结果进行分析。④实验结果与理论分析值比较,有没有不同?为什么?

五.附加实验题和思考题

1.带进位运算与不带进位运算有何区别?

2.如何实现带进位运算,将上一次运算的进位位用于下一次的运算当中,并实现多个8位数据的(如两个24位数据的加法)运算?在控制电上应作怎样的改动?给出24

位加法详细的仿真波形图。

图2-2B带进位控制的ALU的仿真波形

3. 移位运算器实验

一.实验目的

1. 验证移位控制的组合功能。

二.实验原理

1、移位运算实验原理图如图2-3所示。移位运算器SHEFT使用VHDL语言编写,其输

入/输出端分别与键盘/显示器LED连接。移位运算器是时序电路,在时钟信号到来

时状态产生变化,CLK为其时钟脉冲。由S

0、S

1

、M控制移位运算的功能状态,具有

数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。移位运算器的具体功能见表2-7所示:

2、电路连接、输入数据的按键、输出显示数码管的定义如图2-3右上角所示。

CLK——时钟脉冲,通过键5产生0—1;

M ——工作模式,M=1时带进位循环移位,由键8控制;

C0 ——允许带进位移位输入,由键7控制;

S ——移位模式0~3,由键6控制,显示在数码管LED8上;

D[7..0] ——移位数据输入,由键(2和1)控制,显示在数码管(2和1)上;

QB[7..0]——移位数据输出,显示在数码管(6和5)上;

CN——移位数据输出进位,显示在数码管(7)上;

三.实验步骤

(1)实验台选择模式0、下载(Configure)到实验台;示例工程文件是1SHEFT.bdf,(2)键入待移位数据。通过键盘键1、键2向D[7..0]置数01101011(6BH,显示在数码管2和1)。

(3)将D[7..0]装入移位运算器QB[7..0]。键6设置(S1,S0)=3,键8设置M=0,(S&M=6,允许加载待移位数据,显示于数码8);此时用键5产生CLK(0-1-0),将数据装入(加载进移位寄存器,显示在数码管6和5)。

(4)对输入数据进行移位运算。再用键6设置为(S1,S0)=2(S&M=4,显示于数码8,允许循环右移);连续按键5,产生CLK,输出结果QB[7..0](显示在数码管6和5)将发生变化:6BH→B5H→DAH…,

(5)键8设置M=1(允许带进位循环右移),观察带进位移位允许控制C0的置位与清零对移位的影响;

(6)根据表2-7,通过设置(M、S1、S0)验证移位运算的带进位和不带进位移位功能。四.实验要求

1、做好实验预习,掌握带进位控制的算术运算功能发生器的功能特性。

2、写出实验报告,内容是:①实验目的,实验原理;②按理论分析值准备并填写好

实验数据表;③列表比较实验数据的理论分析值与实验结果值,并对结果进行分

析;④实验结果与理论分析值比较,有没有不同?为什么?

图2-3 移位运算实验原理图

表2-7 移位发生器的功能

五.思考题

如何实现有符号数的算术右移和算术左移?修改用VHDL编写的实验参考程序,进行功能仿真,并在实验台上调试实现。附:实验参考程序请参考文件夹中的1SHEFT.VHD和CNT2.VHD

计算机组成原理实验1_脱机运算器

实验一.脱机运算器部件实验 一、教学计算机的通电启动和关闭操作 1.教学计算机系统通电启动的操作步骤: (1) 准备一台串行接口运行正常的PC机; (2) 将TH-union计原16放在实验台上,打开实验箱的盖子,确定电源处于断开状态; (3) 将黑色的电源线一端接220V交流电源,另一端插在计原16实验箱的电源插座; (4) 取出通讯线,将通讯线的9芯插头接在计原16实验箱后板上左侧位置的串口插座,另一端接 到PC机的串口上; (5) 将计原16实验系统左下方的五个黑色的功能控制开关置于00010的位置(连续、内存读指令、 微程序、联机、16位),开关拨向上方表示“1”,拨向下方表示“0”; (6) 接通电源,船形开关和5V电源指示灯亮。 (7) 在PC机上运行PCEC16.EXE文件,根据使用的PC机的串口情况选“1”或“2”,其它的设置一 般不用改动,直接回车即可。(具体步骤附后) (8) 按一下“RESET”按键,再按一下“START”按键,PC机屏幕上显示: TH-union CRT MONITOR Version 1.0 April 2001 Computer Architectur Lab., Tsinghua University Programmed by He Jia > 这个版权信息显示出来之后,表示教学机已经进入正常运行状态,等待输入监控命令。 实验注意事项: 1.连接电源线和通讯线前TH-union计原16实验系统的电源开关一定要处于断开状态,否则可能 损坏教学计算机系统的或PC机的串行接口电路; 2.五个黑色控制开关的功能示意图如下: 开关位置,自左向右共5个,分别控制 1 2 3 4 5 向上拨:单步手工拨指令组合逻辑运算器联机 8位 向上拨:连续读内存指令微程序运算器脱机 16位 几种常用的工作方式,(开关向上拨表示为1,向下拨表示0) 工作方式功能开关状态 连续运行程序、硬连线控制器、联机、16位机 00110 连续运行程序、微程序控制器、联机、16位机 00010 单步、手拨指令、硬连线控制器、联机、16位机 11110 单步、手拨指令、微程序控制器、联机、16位机 11010 单步、脱机运算器实验、16位机 10000 2.关闭教学计算机系统 在需要关闭教学计算机系统时,应首先通过安装在机箱右侧板上的开关关闭交流电源,教学机上的全部指示灯都会熄灭。(在需要时,还可以拨掉交流电源连线,断开教学计算机和PC机的串行接口连线),收拾好实验设备并盖好机箱的箱盖。 3.运行仿真终端程序的操作步骤: 1.在PC机上建一个文件夹TH-union计原16(若原来已有则不必重建); 2.若PCEC16程序尚未拷入,将其拷贝到在用户硬盘中刚建的文件夹里; 3.双击PCEC16图标,出现如图所示的界面:

计算机组成原理实验1-汇编语言实验

微处理器与接口技术 实验指导

实验一监控程序与汇编语言程序设计实验 一、实验要求 1、实验之前认真预习,明确实验的目的和具体实验内容,设计好主要的待实验的程序,做好实验之前的必要准备。 2、想好实验的操作步骤,明确通过实验到底可以学习哪些知识,想一想怎么样有意识地提高教学实验的真正效果。 3、在教学实验过程中,要爱护教学实验设备,认真记录和仔细分析遇到的现象与问题,找出解决问题的办法,有意识地提高自己创新思维能力。 4、实验之后认真写出实验报告,重点在于预习时准备的内容,实验数据,实验过程、遇到的现象和解决问题的办法,自己的收获体会,对改进教学实验安排的建议等。善于总结和发现问题,写好实验报告是培养实际工作能力非常重要的一个环节,应给以足够的重视。 二、实验目的 【1】学习和了解TEC-XP16教学实验系统监控命令的用法; 【2】学习和了解TEC-XP16教学实验系统的指令系统;

【3】学习简单的TEC-XP16教学实验系统汇编程序设计。 三、实验注意事项 (一)实验箱检查 【1】连接电源线和通讯线前TEC-XP16实验系统的电源开关一定要处于断开状态,否则可能会对TEC-XP16实验系统上的芯片和PC机的串口造成损害。 【2】五位控制开关的功能示意图如下: 【3】几种常用的工作方式【开关拨到上方表示为1,拨到下方为0】 (二)软件操作注意事项 【1】用户在选择串口时,选定的是PC机的串口1或串口2,而不是TEC-XP16实验系统上的串口。即选定的是用户实验时通讯线接的PC机的端口; 【2】如果在运行到第五步时没有出现应该出现的界面,用户需要检查是不是打开了两个软件界面,若是,关掉其中一个再试; 【3】有时若TEC-XP16实验系统不通讯,也可以重新启动软件或是重新启动PC再试; 【4】在打开该应用软件时,其它的同样会用到该串口的应用软件要先关掉。

计算机组成原理 实验一 运算器组成实验

实验一运算器组成实验 一、实验目的 1.熟悉双端口通用寄存器堆的读写操作。 2.熟悉简单运算器的数据传送通路。 3.验证运算器74LS181的算术逻辑功能。 4.按给定数据,完成指定的算术、逻辑运算。 二、实验电路 S3 S2 S1 S0 M 图3.1 运算器实验电路 图3.1示出了本实验所用的运算器数据通路图。参与运算的数据首先通过实验台操作板上的八个二进制数据开关SW7-SW0来设置,然后输入到双端口通用寄存器堆RF中。 RF(U54)由一个ispLSI1016实现,功能上相当于四个8位通用寄存器,用于保存参与运算的数据,运算后的结果也要送到RF中保存。双端口寄存器堆模块的控制信号中,RS1、RS0用于选择从B端口(右端口)读出的通用寄存器,RD1、RD0用于选择从A端口(左端口)读出的通用寄存器。而WR1、WR0用于选择写入的通用寄存器。LDRi是写入控制信号,当LDRi=1时,数据总线DBUS上的数据在T3写入由WR1、WR0指定的通用寄存器。RF的A、

B端口分别与操作数暂存器DR1、DR2相连;另外,RF的B端口通过一个三态门连接到数据总线DBUS上,因而RF中的数据可以直接通过B端口送到DBUS上。 DR1(U47)和DR2(U48)各由1片74LS273构成,用于暂存参与运算的数据。DR1接ALU 的A输入端口,DR2接ALU的B输入端口。ALU(U31、U35)由两片74LS181构成,ALU的输出通过一个三态门(74LS244)发送到数据总线DBUS上。 实验台上的八个发光二极管DBUS7-DBUS0显示灯接在DBUS上,可以显示输入数据或运算结果。另有一个指示灯C显示运算器进位标志信号状态。 图中尾巴上带粗短线标记的信号都是控制信号,其中S3、S2、S1、S0、M、Cn#、LDDR1、LDDR2、ALU_BUS#、SW_BUS#、LDRi、RS1、RS0、RD1、RD0、WR1、WR0都是电位信号,在本次实验中用拨动开关K0—K15来模拟;T2、T3为时序脉冲信号,印制板上已连接到实验台的时序电路。实验中进行单拍操作,每次只产生一组T1、T2、T3、T4时序脉冲,需将实验台上的DP、DB开关进行正确设置。将DP开关置1,DB开关置0,每按一次QD按钮,则顺序产生T1、T2、T3、T4一组单脉冲。 三、实验设备 1.TEC-5计算机组成实验系统1台 2.逻辑测试笔一支(在TEC-5实验台上) 3.双踪示波器一台(公用) 4.万用表一只(公用) 四、实验任务 1.按图3.1所示,将运算器模块与实验台操作板上的线路进行连接。由于运算器模块 内部的连线已由印制板连好,故接线任务仅仅是完成数据开关、控制信号模拟开 关、与运算器模块的外部连线。注意:为了建立清楚的整机概念,培养严谨的科 研能力,手工连线是绝对必要的。 2.用开关SW7—SW0向通用寄存器堆RF内的R0—R3寄存器置数。然后读出R0—R3 的内容,在数据总线DBUS上显示出来。 3.验证ALU的正逻辑算术、逻辑运算功能。 令DR1=55H,DR2=0AAH,Cn#=1。在M=0和M=1两种情况下,令S3—S0的值从0000B变到1111B,列表表示出实验结果。实验结果包含进位C,进位C由指示灯显示。 注意:进位C是运算器ALU最高位进位Cn+4#的反,即有进位为1,无进位为0。 五、实验要求 1.做好实验预习,掌握运算器的数据传输通路及其功能特性,并熟悉本实验中所用 的模拟开关的作用和使用方法。 2.写出实验报告,内容是: (1)实验目的。 (2)按实验任务3的要求,列表表示出实验结果。 (3)按实验任务4的要求,在表中填写各控制信号模拟开关值,以及运算结果值。 六、实验步骤和实验结果 (1)实验任务2 的实验步骤和结果如下:(假定令R0=34H,R1=21H,R2=52H,R3=65H)1.置DP=1,DB=0,编程开关拨到正常位置。 接线表如下:

基本运算器实验定稿版

基本运算器实验 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

计算机科学与技术系 实验报告 专业名称计算机科学与技术 课程名称计算机组成原理 项目名称基本运算器实验 班级 学号 姓名 同组人员 实验日期 一、实验目的与要求 实验目的 (1)了解运算器的组成结构 (2)掌握运算器的工作原理 实验要求

(1)实验之前,应认真准备,写出实验步骤和具体设计内容,否则实验效率会很低,一次实验时间根本无法完成实验任务; (2)应在实验前掌握所以控制信号的作用,写出实验预习报告并带入实验室; (3)实验过程中,应认真进行实验操作,既不要因为粗心造成短路等事故而损坏设备,又要自习思考实验有关内容; (4)实验之后,应认真思考总结,写出实验报告,包括实验步骤和具体实验结果,遇到的问题和分析与解决思路。还应写出自己的心得体会,也可以对教学实验提出新的建议等。实验报告要上交老师。 二、实验逻辑原理图与分析 画实验逻辑原理图 逻辑原理图分析 上图为运算器原理图。如图所示运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A和暂存器B,三个部件同时接受来自A 和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN来决定(三选一开关),任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志FZ。ALU中所有模块集成在一片CPLD中。

计算机组成原理实验-运算器组成实验报告

计算机组成原理课程实验报告 9.3 运算器组成实验 姓名:曾国江 学号: 系别:计算机工程学院 班级:网络工程1班 指导老师: 完成时间: 评语: 得分:

9.3运算器组成实验 一、实验目的 1.熟悉双端口通用寄存器堆的读写操作。 2.熟悉简单运算器的数据传送通路。 3.验证运算器74LS181的算术逻辑功能。 4.按给定数据,完成指定的算术、逻辑运算。 二、实验电路 ALU-BUS# DBUS7 DBUS0 Cn# C 三态门(244) 三态门(244)ALU(181) ALU(181) S3S2S1S0M A7A6A5A4F7F6F5F4 F3F2F1F0B3B2B1B0 Cn+4 Cn Cn Cn+4 LDDR2T2 T2 LDDR1LDRi T3 SW-BUS# DR1(273) DR2(273) 双端口通用寄存器堆RF (ispLSI1016) RD1RD0RS1RS0WR1WR0 数据开关(SW7-SW0)数据显示灯 A3A2A1A0B7B6B5B4 图3.1 运算器实验电路 LDRi T3A B 三态门 R S -B U S # 图3.1示出了本实验所用的运算器数据通路图。参与运算的数据首先通过实验台操作板上的八个二进制数据开关SW7-SW0来设置,然后输入到双端口通用寄存器堆RF 中。

RF(U30)由一个ispLSI1016实现,功能上相当于四个8位通用寄存器,用于保存参与运算的数据,运算后的结果也要送到RF中保存。双端口寄存器堆模块的控制信号中,RS1、RS0用于选择从B端口(右端口)读出的通用寄存器,RD1、RD0用于选择从A端口(左端口)读出的通用寄存器。而WR1、WR0用于选择写入的通用寄存器。LDRi是写入控制信号,当LDRi=1时,数据总线DBUS上的数据在T3写入由WR1、WR0指定的通用寄存器。RF的A、B端口分别与操作数暂存器DR1、DR2相连;另外,RF的B端口通过一个三态门连接到数据总线DBUS上,因而RF中的数据可以直接通过B端口送到DBUS 上。 DR1和DR2各由1片74LS273构成,用于暂存参与运算的数据。DR1接ALU的A输入端口,DR2接ALU的B输入端口。ALU由两片74LS181构成,ALU的输出通过一个三态门(74LS244)发送到数据总线DBUS上。 实验台上的八个发光二极管DBUS7-DBUS0显示灯接在DBUS上,可以显示输入数据或运算结果。另有一个指示灯C显示运算器进位标志信号状态。 图中尾巴上带粗短线标记的信号都是控制信号,其中S3、S2、S1、S0、M、Cn#、LDDR1、LDDR2、ALU_BUS#、SW_BUS#、LDRi、RS1、RS0、RD1、RD0、WR1、WR0都是电位信号,在本次实验中用拨动开关K0—K15来模拟;T2、T3为时序脉冲信号,印制板上已连接到实验台的时序电路。实验中进行单拍操作,每次只产生一组T1、T2、T3、T4时序脉冲,需将实验台上的DP、DB开关进行正确设置。将DP开关置1,DB开关置0,每按一次QD 按钮,则顺序产生T1、T2、T3、T4一组单脉冲。 三、实验设备 1.TEC-5计算机组成实验系统1台 2.逻辑测试笔一支(在TEC-5实验台上) 3.双踪示波器一台(公用) 4.万用表一只(公用) 四、实验任务 1、按图3.1所示,将运算器模块与实验台操作板上的线路进行连接。由于运 算器模块内部的连线已由印制板连好,故接线任务仅仅是完成数据开关、控制信号

基本运算器实验模板

计算机科学与技术系 实验报告 专业名称计算机科学与技术 课程名称计算机组成原理 项目名称基本运算器实验 班级 学号 姓名 同组人员无 实验日期 2016.5.17

一、实验目的与要求 (一) 实验目的: (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 (二) 实验要求: (1)实验之前,应认真准备,写出实验步骤和具体设计内容,否则实验效率会特别低,一次实验时间根本无法完成实验内容,即使基本作对了,也很难说懂得了些什么重要教学内容。 (2)应在实验前掌握所有控制信号的作用,写出实验预习报告并带入实验室。 (3)实验过程中,应认真进行实验操作,既不要因为粗心造成短路等事故而破坏设备,又要仔细思考实验有关内容,把自己想不明白的问题通过实验理解清楚。 二、实验逻辑原理图与分析 2.1 画实验逻辑原理图 xxxxxxxxxx xxxxxxxxxx 多路开关 判零 A=xx LOG=xx SHF=xx ART=xx 进位 B=xx & &

2.2 逻辑原理图分析 1)运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要 处理的数据存于暂存器A和暂存器B,三个部件同时接受来自A 和B 的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM)。 2)各部件对操作数进行何种运算由控制信号S3…S0和CN 来决定,任何时候, 多路选择开关只选择三部件中一个部件的结果作为ALU 的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU 零标志。 ALU 中所有模块集成在一片CPLD 中。 三、数据通路图及分析 1、逻辑运算

运算器部件实验报告

实验一运算器部件实验报告 班级姓名学号日期 一、实验目的 ●熟悉与深入理解4位运算器芯片Am2901的功能和内部组成,运行中要求 使用的控制信号及其各自的控制作用。 ●熟悉与深入理解用4片4位的运算器芯片构成16位的运算器部件的具体方 案,各数据位信号、各控制位信号的连接关系。 ●熟悉与深入理解用2片GAL20v8芯片解决ALU最低位的进位输入信号和 最高、最低位的移位输入信号、实现4位的标志位寄存器的方案,理解为什么这些功能不能在运算器芯片之内实现而要到芯片之外另外处理。 ●明确教学计算机的运算器部件,使用总计24位的控制信号就完全确定了它 的全部运算与处理功能,脱机运算器实验中可以通过24位的微型开关提供这些控制信号。 二、实验说明 脱机运算器实验,是指让运算器从教学计算机整机中脱离出来,此时,它的全部控制与操作均需通过24位的微型开关来完成,通过开关、按键控制教学机的运算器完成指定的运算功能,并通过指示灯观察运算结果。 三、实验要求 1、实验之前认真预习,写出预习报告,包括操作步骤,实验过程所用数据和运行结果等 2、实验过程当中,要仔细进行,防止损坏设备,分析可能遇到的各种现象,判断结果是否正确,记录运行结果 3、实验之后,认真写出实验报告,包括对遇到的各种现象的分析,实验步骤和实验结果,自己在这次实验的心得体会与收获。 四、实验所使用到的控制信号 AM2901所用的控制信号

1、将教学机设置为单步、16位、脱机状态下,即把教学机左下方的5个控制开关置为1XX00。 2、按一下RESET按键,进行初始化。 3、按照指定功能给出控制信号和数据信息,观察各信号指示灯状态。 4、按压START键,给出脉冲信号,观察各信号灯状态。 六、实验内容 1、下表中所列操作在教学机上进行运算器脱机实验。并将结果填入表中。 运算器功能所用到的控制信号

实验二 运算器实验

南京工程学院 计算机工程学院 计算机组成与结构实验报告书 实验学生班级 K网络工程121 实验学生姓名王云峰 学号 240121525 实验地点信息楼A115 实验二运算器实验 同组同学李翔240121515(合作小组朱赛杰240121533)实验日期 11月27日实验仪器号 TEC-XP+14S022 一、实验目的 1.加深对Am2901运算器内部组成的了解, 掌握四片Am2901芯片间的连接关系, 以及它与有关外部逻辑电路的连接关系。 2.准确把握该运算器的控制与使用, 即掌握其运算与操作功能, 以及正确地为其提供全部控制信号及有关数据的手段与技术。 3.初步了解运算器在计算机整机中的作用。 二、实验内容 1.脱机方式下运算器的控制及运行设计控制信号序列,在脱机方式实现给定程序段的功能。记录按压START 前后的ALU的运算结果和状态标志。 2.联机方式下运算器的控制及运行在联机方式下,汇编并单步执行给定程序段,查看并记录每条指令执行后的运行结果。使用指令的单步骤执行方式,观察与运算器相关的控制信号的状态。 三、实验步骤与结果 脱机的运算器实验,在教学实验中实现如下7项操作功能: 预期功能实现方案 R0 ←1234 数据开关拨1234,B地址给0,D+0,结果送B口选的R0

R9 ←789F 数据开关拨789F,B地址给9,D+0,结果送B口选的R9 R9 ←R9-R0 B地址9,A地址给0,最低位进位给1,B-A,结果送B 口选的R9 R0 ←R0+1 B地址给0,最低位进位给1,B+0,结果送B口选的R0 R10←R0 B地址给A,A地址给0,A+0,结果送B口选的R10 逻辑右移 在有了预期功能和实现方案之后,要解决的具体问题,就是依据教学计算机的简明操作卡中的有关表格中规定的内容,找出实现每一操作功能要用到的控制码。请把表2-3中各组控制信号的正确的取值填写在相应位置,然后把运行结果的状态信息填入表2-4。

计算机组成原理实验三运算器

实验三:八位运算器组成实验 一:实验目的: 1:掌握运算器的组成原理、工作原理; 2:了解总线数据传输结构; 3:熟悉简单的运算器的数据通路与控制信号的关系; 4:完成给定数据的算术操作、逻辑操作; 二:实验条件: 1:PC机一台; 2:MAX+PLUSⅡ软件; 三:实验内容(一) 1:所用到的芯片 74181:四位算术逻辑运算单元; 74244:收发器(双向的三态缓冲器) 74273:八位D触发器; 74374:八位D锁存器; 74163:八进制计数器; 7449:七段译码器 2:实验电路图 (1)运算器电路图 (A)数据输入电路由两个十六进制计数器连接成16*16=256进制的计数器,可以实现八位的输入。 (B)运算功能选择电路由一个十六进制计数器组成,可以实现16种不同运算的选择。再加上逻辑运算器上的M位和Cn位的选择,一共可以实现16*3=48种运算功能。内部由一个74163构成。

内部结构: (C)数码管扫描显示电路由一个扫描电路scan和一个七段译码器7449组成,scan 内部是一个二选一的多路复用器。 scan内部结构: (D)运算器电路图

(2)波形仿真图 (A)输入两个数A=05H,B=0AH,O5H DR1,0AH DR2,并通过经由74181在总线上显示。

(B)对两个数进行各种数学运算和逻辑运算。加法运算:输出控制:s4s3s2s1=0001,M=0,CN=0 输出使能:ALU_BUS=0 计算结果:05H+0AH=10H

四:实验内容(二) 给定A,B两个数,设A=05H,B=0AH,完成几种常见的算术运算和逻辑运算画出运算的波形和仿真图 (1)逻辑运算:A and B,A or B,取反/A,A⊙B,A⊕B; /A A⊕B A⊙B A and B A or B 输入控制s3s2s1s0 0000 0110 1001 1011 1110 计算结果FAH 0FH F0H 00H 0FH

嵌入式--计算器--实验报告

计算器设计实验报告 一、实验设计主要分工 04009320 文斌:算法设计,LCD显示。 04** 张希:界面(按钮控件)设计,文件内容读取。 共同调试、完善设计。 二、程序设计实现功能效果 (1)支持整数、小数基本加减乘除运算; (2)有优先级的判别计算。优先级由高到低一次为括号运算、乘除运算、加减运算。(3)支持键盘输入和触摸屏输入; (4)能读取指定目录下文本内容(内容为计算表达式)并计算得出结果,将内容和结果显示在LCD上。 程序任务开始后,等待键盘或触摸屏的输入。输入键有0~9数字键、+-*/()运算符、del退格键、clear清屏键、read读指定目录文本内容并计算键、enter'='键、‘.’小数点键。 每当有字符输入时,触摸屏相应键显示“AAA”,100ms后恢复原相应按键符号,同时LCD 屏幕上显示相应字符。当输入'del'键时,屏幕显示去掉最后一位字符。当输入'='号后,得出计算结果,结果显示于表达式的下一行。若是除零错误,则结果显示为“/0ERROR!”。若有非法字符(触摸点不能识别为设计按键符则视为非法字符),则结果输出为“Syntax Error!!”。若表达式有运算符连续输入,则忽略前面的运算符,只取最后一位运算符计算,正常显示数字结果。当输入'clear'键时,情况显示区域。当输入'read'键时,从指定目录文本文件中读取表达式并计算。将表达式内容和计算结果显示在LCD上。 三、程序算法实现 1、计算算法 首先将输入的0~9数字、+-*/()运算符的内容存储于一个全局变量cal[number]中, 表达为中缀表达式。用void str2repol()函数,将输入字符串cal[number]转换成逆波 兰表达式并存于全局数组char repol[maxs]中。str2repol()函数中缀表达式转成逆波兰 后缀表达式算法如下: (1)首先构造一个运算符栈stack[maxs],此运算符在栈内遵循越往栈顶优先级越高的 原则。

实验一 运算器实验

武汉工程大学 计算机科学与工程学院 《计算机组成原理》实验报告 专业班级XX 实验地点机电大楼302 学生学号XX 指导教师XX 学生姓名XX 实验时间第10周 实验项目运算器实验 实验类别操作性(√)验证性()设计性()综合性()其它() 实验目的及要求 实验目的: 1、掌握简单运算器的数据传输方式。 2、验证运算功能发生器(74LS181)及进位控制的组合功能。 实验要求: 完成不带进位及带进位算术运算实验、逻辑运算实验,了解算术逻辑运算单元的运用。 成绩评定表 类别评分标准分值得分合计 上机表现积极出勤、遵守纪律 主动完成实验设计任务 30分 程序代码比较规范、基本正确 功能达到实验要求 30分 实验报告及时递交、填写规范 内容完整、体现收获 40分 评阅教师: 日期:年月日 实验内容

一、实验原理 图1-1 运算器原理 实验中所用的运算器原理如图1-1所示。其中运算器由两片74LS181以并/串形式构成8位字长的ALU。运算器的输出经过一个三态门(74LS245)和数据总线相连,运算器的两个数据输入端分别由二个锁存器(74LS273)锁存,锁存器的输入已连至数据总线,数据开关(INPUT UNIT)用来给出参与运算的数据,经一三态门(74LS245)和数据总线相连,数据显示灯(BUS UNIT)已和数据总线相连,用来显示数据总线内容。 本实验装置的控制线(CTR-IN UNIT)应与(CTR-OUT UNIT)相连,数据总线、时序电路(TIME UNIT)产生的脉冲信号(T1-T4)、P(1)、P(2)、P(3)本实验装置已作连接,(CLK UNIT)必须选择一档合适的时钟,其余均为电平控制信号(HC-UNIT)。进行实验时,首先按动位于本实验装置右中则的复位按钮使系统进入初始待令状态,在LED显示器闪动出现“P.”的环境下,按动增址命令键使LED显示器自左向右第一位显示提示符“H”,表示本装置已进入手动单元实验状态,在

java计算器实验报告

Java计算器实验报告 计算机032 胡勇健 03095218 2005年5月5日

目录 1.设计名称与要求 2.各模块功能的介绍和实现3.系统的特色和不足4.参考书

一. 实验名称及其要求: A)名称: java计算器的设计 B)要求:1.实验目的:图形界面设计。 熟悉java.awt包中的组件,掌握图形界面设计方法,理解委托事件处理模型。 2.题意: 请设计并实现Windows系统中“计算器”的窗口及功能。 3.实验要求: (1)设计图形界面添加菜单:窗口上添加各种组件及菜单,并处理组件及菜单的事件监听程序。 (2)运算:实现多种运算,保证运算正确性。 二.各模块功能的介绍和实现: A)GUI图形界面的组件: a)所用到的Java类库包: java.awt.*; 基本的图形界面组件来源于awt包。 java.awt.event.*; 事件的属性处理来源于awt.event包。 javax.swing.*; swing组件增加了awt包中所不具备的各种优越功能。 java.awt.datatransfer.*; 用于计算器与外部的程序进行复制粘贴。 b)所用的各部分图形组件的定义: Frame mainFrame; //主框架 JTextField answerText; //显示计算结果 JTextField memoryState; //显示计算器内存的使用情况MenuBar menuGroup; //菜单栏 Menu editMenu,viewMenu,helpMenu; //编辑,查看,帮助菜单 MenuItem copyItem,pasteItem; //复制,粘贴 MenuItem standardModel; //标准型 CheckboxMenuItem numGroup; //数字分组 MenuItem aboutCal; //关于计算器 Button buttonBackSpace,buttonCE,buttonC; //退格,清除,清空按钮 Button buttonMC,buttonMR,buttonMS,buttonMADD; //内存操作按钮 Button buttonNum[]; //数字按钮 Button buttonAdd,buttonSub,buttonMul,buttonDiv; //+,-,*,/ Button buttonDot,buttonSign,buttonEqual; //. +/- =

实验二 脱机运算器实验

计算机学院软件工程专业 < >班学号: 姓名协作者教师评定 实验题目脱机运算器实验 一、实验目的: 1、深入了解AM2901运算器的功能与具体用法; 2、深化运算器部件的组成、设计、控制与使用等知识 二、实验设备与器材: TEC-XP+教学实验系统 三、实验说明和原理: 脱机运算器实验,是指让运算器从数学计算机整机中脱离出来,此时,他的全部控制与操作均需通过两个12位的卫星开关来完成,这就谈不上执行指令,只能通过开关,案件控制数学机的运算器完成指定的运算功能,并通过指示灯观察运算器结果。 下面先把前边几讲过的,与该实验直接有关的结论性内容汇总如下。 1、12位微型开关的具体控制功能分配如下: A口,B口地址:送给AM2901器件用于选择源与目的操作数的寄存器编号: I8-I0:选择操作数来源,运算操作功能,选择操作数处理结果和运算器输出内容的3组3位的控制码: SCI、SSH和SST:用于确定运算器最低位的进位输入,移位信号的入/出和怎样处理AM2901产生的状态标志位的结果。 2、开关位置说明: 做脱机运算器实验时,要用到提供24位控制信号的微动开关和提供16位数据的拔动开关。微动开关是红色的,一共有三个,一个微动开关可以提供12位的控制信号,三个开关分别标有SW1 micro switch1和SW2 micro switch和SW3 micro switch,他们所对应的控制信号见下表;数据开关是黑色的,左边的标有SWH的是高8位:右边的标有SWL的是低8位。微动开关与控制信号对应关系见表: 微动开关与控制信号对应关系表 SW1 Micro switch SW2 Micro switch SW3 Micro switch T3-T0 REQ/MIO/WE I2-I0 I8-I7 I6-I3 B PORT A PORT SST SSH SCI DC2 DC1

运算器部件实验报告

实验一运算器部件实验报告 班级____________ 姓名_______________ 学号 _______________ 日期_____________ 一、实验目的 熟悉与深入理解4位运算器芯片Am2901的功能和内部组成,运行中要求使用的控制信号及其各自的控制作用。 熟悉与深入理解用4片4位的运算器芯片构成16位的运算器部件的具体方案,各数据位信号、各控制位信号的连接关系。 熟悉与深入理解用2片GAL20V8芯片解决ALU最低位的进位输入信号和最高、最低位的移位输入信号、实现4位的标志位寄存器的方案,理解为什么这些功能不能在运算器芯片之内实现而要到芯片之外另外处理。 明确教学计算机的运算器部件,使用总计24位的控制信号就完全确定了它的全部运算与处理功能,脱机运算器实验中可以通过24位的微型开关提供这些控制信号。 二、实验说明 脱机运算器实验,是指让运算器从教学计算机整机中脱离出来,此时,它的全部控制与操作均需通过24位的微型开关来完成,通过开关、按键控制教学机的运算器完成指定的运算功能,并通过指示灯观察运算结果。 三、实验要求 1、实验之前认真预习,写出预习报告,包括操作步骤,实验过程所用数据和运行结果等 2、实验过程当中,要仔细进行,防止损坏设备,分析可能遇到的各种现象,判断结果是否正确,记录运行结果 3、实验之后,认真写出实验报告,包括对遇到的各种现象的分析,实验步骤和实验结果,自己在这次实验的心得体会与收获。 四、实验所使用到的控制信号 AM2901所用的控制信号 运算器用到的GAL20V8的控制信号

五、实验步骤 1、将教学机设置为单步、16位、脱机状态下,即把教学机左下方的5个控制开关置为1XX00。 2、按一下RESET按键,进行初始化。 3、按照指定功能给出控制信号和数据信息,观察各信号指示灯状态。 4、按压START键,给出脉冲信号,观察各信号灯状态。 六、实验内容 1、下表中所列操作在教学机上进行运算器脱机实验。并将结果填入表中 2、下表中所列操作在教学机上进行运算器脱机实验。并将结果填入表中 运算器功能所用到的控制信号

运算器组成实验

实验二 运算器组成实验 1.算术逻辑运算实验 一.实验目的 1. 了解简单运算器的数据传输通路。 2. 验证运算功能发生器的组合功能。 3. 掌握算术逻辑运算加、减、与的工作原理。 4. 验证实验台运算的8位加、减、与、直通功能。 5. 按给定数据,完成几种指定的算术和逻辑运算。 二.实验内容 1.实验原理 算术逻辑单元ALU 的数据通路如图2-1所示。其中运算器ALU181根据74LS181的功能用VHDL 硬件描述语言编辑而成,构成8位字长的ALU 。参加运算的两个8位数据分别为A[7..0]和B[7..0],运算模式由S[3..0]的16种组合决定,而S[3..0]的值由4位2进制计数器LPM_COUNTER 产生,计数时钟是Sclk (图2-1);此外,设M=0,选择算术运算,M=1为逻辑运算,C N 为低位的进位位;F[7..0]为输出结果,C O 为运算后的输出进位位。两个8位数据由总线IN[7..0]分别通过两个电平锁存器74373锁入,ALU 功能如表2-1所示。 表2-1 ALU181的运算功能 选择端 高电平作用数据 S3 S2 S1 S0 M=H M=L 算术操作 逻辑功能 Cn=L (无进位) Cn=H (有进位) 0 0 0 0 A F = A F = 1加A F = 0 0 0 1 B A F += B A F += )(B A F +=加1 0 0 1 0 B A F = B A F += B A F +=+1 0 0 1 1 0=F =F 减1(2的补码) 0=F 0 1 0 0 AB F = B A A F 加= B A A F 加=加1 0 1 0 1 B F = )(B A F +=加B A )(B A F +=加B A +1 0 1 1 0 B A F ⊕= B A F 减= 1减减B A F = 0 1 1 1 B A F = B A F += 1)(减B A F += 1 0 0 0 B A F += AB A F 加= AB A F 加=加1 1 0 0 1 B A F ⊕= B A F 加= B A F 加=加1 1 0 1 0 B F = AB B A F )加(+= AB B A F 加)(+=加1 1 0 1 1 AB F = AB F = 1减AB F = 1 1 0 0 1=F A A F 加=* 1加加A A F = 1 1 0 1 B A F += A B A F )加(+= A B A F )加(+=加1 1 1 1 0 B A F += A B A F )加(+= A B A F )加(+=加1 1 1 1 1 A F = A F = 1减A F = 注1、* 表示每一位都移至下一更高有效位, “+”是逻辑或,“加”是算术加 注2、在借位减法表达上,表2-1与标准的74181的真值表略有不同。 三.实验步骤 (1)设计ALU 元件 在Quartus II 环境下,用文本输入编辑器Text Editor 输入ALU181.VHD 算术逻辑单元文件,编译VHDL 文件,并将ALU181.VHD 文件制作成一个可调用的原理图元件。 (2)以原理图方式建立顶层文件工程

实验一:运算器实验

《计算机组成原理》 实验报告实验一:运算器实验 学院: 专业: 班级学号: 学生姓名: 实验日期: 指导老师: 成绩评定: 计算机学院计算机组成原理实验室

实验一 一、实验名称:运算器实验 二、实验目的: 1、掌握运算器的组成及工作原理; 2、熟悉ALU执行算术运算与逻辑运算的具体实现过程; 3、掌握ALU 算术与逻辑运算的控制方法 三、实验内容: 1、两8位操作数的算术运算及进位影响 2、两8位操作数的逻辑运算及进位影响 3、不同控制组合下的算术与逻辑运算的输出结果 四、实验设备: EL-JY-II型计算机原理实验系统 五、实验步骤: 1、在系统断电的情况下,按实验指导书接线图完成本次实验的接线; 2、系统上电,拨动清零开关,系统清零; 3、从数据输入开关电路输入第一个8位数据,开放数据总线,使数据进入暂存寄存器A; 4、从数据输入开关电路输入第二个8位数据,开放数据总线,使数据进入暂存寄存器B; 5、关闭数据输入开关,开启ALU输出,检查两个8位数据正确与否,有错通过步骤3改正; 6、拨动功能选择端S3,S2,S1,S0 进行算术运算,记录ALU输出结果; 7、重复步骤6,直到S3,S2,S1,S0所有组合(16种)被完成; 8、对实验结果进行检查,如有错误,找出原因,重做实验,直到正确为止。 六、实验结果 整个实验记录的实验结果如下:

七、分析讨论 S0, S1,S2,S3是功能选择控制端,决定是做加、减、逻辑与、逻辑或、逻辑异或、移位等运算,表一的实验结果与手工验算完全一致,从而验正了整个ALU的算术/逻辑运算功能和移位处理功能。灵活运用S0,S1,S2,S3的不同组合可以实现许多其它功能,如通过移位运算可以实现数据的乘2(左移一位)和除2运算(右移一位),此外选择S3S2S1S0=0000或S3S2S1S0=0001将操作数A 或B可以直接送到ALU的输出,这样可以直接验证输入数据是否正确。本次实验通过算术加法运算观察到对进位位FC和零标志FZ的影响,和手工验算的结果一致。实验也涉及到了数据总线,总线有三个性质:公共性、驱动性和三态性,在数据输入时利用控制开关来控制三态,有效时输出数据,无效时数据输入缓冲器呈高阻(相当断开)与数据总线隔离;公共性是总线的最根本的属性,所有传输的数据都通过共享数据线分时完成的,何时完成靠控制信号来区分,如输入的两个8位数据就是通过数据总线分两次(分时)传送的。(不少于100字) 八、心得体会 这是计算机组成原理的第一个实验,虽然还有点陌生,但基本熟悉了整个实验系统的基本结构,了解了该实验装置按功能分成几大区,学会何时操作各种开关、按键。最重要的是通过实验掌握了运算器工作原理,熟悉了算术/逻辑运算的运算过程以及控制这种运算的方法,了解了进位对算术与逻辑运算结果的影响,对时序是如何起作用的没太弄清楚,相信随着后续实验的进行一定会搞清楚的。 (蓝色字部分学生根据具体实验的实验指导书及实验内容和过程自己填写)

计算机组成原理实验报告书

《计算机组成原理》 实验报告 学院: ______________ 专业:_______________ 班级:______________ 学号:______________ 姓名:______________

目录 1 软件的安装、测试与使用 (3) 2 基本汇编指令应用 (8) 3 脱机运算器实验 (16) 4 存储器部件教学实验 (18) 5 I/O口扩展实验 (22) 6 中断实验 (26)

1 软件的安装、测试与使用 实验目的: 1.学习和了解TEC-XP教学实验系统监控命令的用法; 2.学习和了解TEC-XP教学实验系统的指令系统; 实验内容: 1.学习联机使用TEC-XP教学实验系统和仿真终端软件PCEC. 2. 熟悉实验箱三种工作模式:仿真、脱机、联机 实验要求 在使用该教学机之前,应先熟悉教学机的各个组成部分,及其使用方法。 实验步骤 一.实验具体操作步骤: 1.准备一台串口工作良好的PC机; 2.将TEC-XP放在实验台上,打开实验箱的盖子,确定电源处于断开状态; 3.将黑色的电源线一端接220V交流电源,另一端插在TEC-XP实验箱的电源插座里; 4.取出通讯线,将通讯线的9芯插头接在TEC-XP实验箱上的串口“COM1”或“COM2” 上,另一端接到PC机的串口上; 5.将TEC-XP实验系统左下方的五个黑色的控制机器运行状态的开关置于正确的位置,在找个实验中开关应置为00110(连续、内存读指令、组合逻辑、联机、16位),控制开 关的功能在开关上、下方有标识;开关拨向上方表示“1”,拨向下方表示“0”, “X”表示任意,其它实验相同; 6.打开电源,船形开关和5V电源指示灯亮。 7.在PC机上运行PCEC16.EXE文件,根据连接的PC机的串口设置所用PC机的串口为“1”或“2”, 其它的设置一般不用改动,直接回车即可。(具体步骤附后) 8.按一下“RESET”按键,再按一下“START”按键,主机上显示: TEC-2000 CRT MONITOR Version 1.0 April 2001 Computer Architectur Lab., Tsinghua University Programmed by He Jia > 二、实验注意事项:

实验一基本运算器实验

山西大学计算机与信息技术学院 实验一基本运算器实验 一、实验目的: (1 )了解运算器的组成结构 (2 )掌握运算器的工作原理 二、实验内容: 1、实验原理: 本实验的原理如图1-1所示。运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器 A和暂存器B,三个部件同时接受来自 A和B的数据各部件对操作数进行何种运算由控制信号S3,S0和 CN来决定,任何时候,多路选择开关只选择三部件中一 个部件的结果作为 ALU的输出。如果是影响进位的运算,还将置进位标志 FC,在运算结果输出前,置ALU零标志。ALU 中所有模 块集成在 一片 运算器部件由一片 CPLD实现。ALU的输入和输出通过三态门74LS245连到CPU内总线上,另 外还有指示灯标明进位标志FC和零标志FZ。图中除T4和CLR其余信号均来自于 ALU单元的排线 座,实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的T1、T2、T3、T4, CLR都连接至 CON 单元的CLR按钮。T4由时序单元的TS4提供,其余控制信号均由 CON单元的二进制数据开关模拟给出。控制信号中除 T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。 表1-1运算器逻辑功能表(表中“ X ”为任意态,下同) 运算类型S3 S2 S1 S0CN 功能 逻辑运算0000 X 台匕 F=A (直通)能0001 X F=B (直通) 课程名称 2011级计算机科学与技术计算机组成原理课程设计实验日期 批改日期 实验名称学号专业班级指导教师 CPLD

0100 X F= A' (FZ ) 0101 X F=A 不带进位循环右移 B (取低 3位)位 (FZ ) 0110 0 F=A 逻辑右移一位 (FZ ) 移位运算 1 F=A 带进位循环右移一位 (FC ,FZ ) 0111 0 F=A 逻辑左移一位 (FZ ) 1 F=A 带进位循环左移一位 (FC ,FZ ) 1000 X 置 FC=CN (FC ) 1001 X F=A 加 B (FC ,FZ ) 1010 X F=A 加B 加FC (FC , FZ ) 算术运算 1011 X F=A 减 B (FC , FZ ) 1100 X F=A 减 1 (FC , FZ ) 1101 X F=A 加 1 (FC , FZ ) 1110 X (保留) 1111 X (保留) 算器零标志,表中功能栏内的 FC FZ 表示当前运算会影响到该标志。 ST 按钮,产生一个 T4上升沿,则将二进制数置入暂 中,暂存器A 的值通过ALU 单元的A7,A0八位 LED 灯显 示。 用输入开关向暂存器 B 置数。 拨动CON 单元的SD27,SD20数据开关,形成另外一个二进制数。 置LDA=0,LDB=1,连续按动时序单元的 ST 按钮,产生一个 T4上升沿,则将二进制数置入暂 中,暂存器B 的值通过ALU 单元的B7,B0八位 LED 灯显示。 改变运算器的功能设置,观察运算器的输出。置 ALU_B=0、LDA=0 LDB=0,然后按表1-1置 S3、S2、S1、S0和Cn 的数值,并观察数据总线 LED 显示灯显示的结果。 2、实验步骤: ⑴ ⑶ 查接线, ⑷ ① KK1、KK3置为’运行’档。 打开电源开关,如果听到有’嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检 直到错误排除。然后按动 CON 单元的 用输入开关向暂存器 A 置数。 拨动CON 单元的SD27,SD20数据开关, CLR 按钮,将运算器的 A 、B 和FC 、FZ 清零。 形成用户指定的二进制数,数据显示亮为’1',灭 为‘ 0'。 ② 存器A (5) ① ② 存器B 置LDA=1, LDB=0连续按动时序单元的 按图1-2连接实验电路,并检查无误。

相关文档
相关文档 最新文档