文档库 最新最全的文档下载
当前位置:文档库 › 电子信息-EDA技术实验指导书-2015

电子信息-EDA技术实验指导书-2015

电子信息-EDA技术实验指导书-2015
电子信息-EDA技术实验指导书-2015

EDA技术实验指导书

适用于电子信息工程专业

QUARTUS II 8.1软件的使用

一、实验目的及要求:

1、练习使用QUARTUS II 8.1软件,掌握利用该软件进行简单EDA设计的基本

流程;

2、完成一个通过拨码开关控制发光二极管亮灭的应用,实验结束后可独立完成

思考题。

二、实验环境及器材:

1、微机(已安装授权的QUARTUS II 8.1软件)

2、EDA/SOPC实验开发系统

3、USB Blaster下载线一根

三、背景知识及操作流程:

QUARTUS II是ALTERA公司推出的EDA开发工具,其前身为MAX PLUS II,目前实验室安装的版本为8.1,利用该软件可进行对可编程逻辑器件的分析、综合、下载等设计。

EDA/SOPC实验开发系统是由北京百科公司生产的一套EDA实验系统,其核心芯片采用ALTERA公司的CYCLONE系列FPGA产品EP1C6Q240C8,同时配备了丰富的外部接口资源,可供学生进行EDA设计实验。

启动QUARTUS后的界面如图1所示,首先需要创建一个工程,具体操作过程如下:

图1 QUARTUS软件的启动界面

(1)点击File –> New Project Wizard创建一个新工程,系统显示如图2。

图2 工程创建向导的启始页

(2)点击Next,为工程选择存储目录、工程名称、顶层实体名等,如图3所示;

(3)点击Next,若目录不存在,系统可能提示创建新目录,如图4所示,点击“是”按钮创建新目录,系统显示如图5所示;

(4)系统提示是否需要加入文件,在此不添加任何文件;

(5)点击Next,进入设备选择对话框,如图6,这里选中实验箱的核心芯片CYCLONE系列FPGA产品EP1C6Q240C8;

(6)点击Next,系统显示如图7,提示是否需要其他EDA工具,这里不选任何其他工具;

(7)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish,工程创建向导将生成一个工程,这时软件界面如图8,在窗口左侧显示出设备型号和该工程的基本信息等。

图3 输入工程名称、存储目录

图4 提示是否创建新文件夹

图5 提示是否添加文件

图6 芯片型号选择

图7 提示是否利用其他EDA设计工具

图8 工程阐述汇总

至此工程创建好完成,一下进行具体的设计了,为实现用一个拨码开关控制一个LED亮灭的功能,可用VHDL编写一个程序实现,具体操作过程如下:

(1)点击File->New创建一个设计文件,系统显示如图9;

图9 创建一个设计文件

(2)选择设计文件的类型为VHDL File;

(3)点击OK,系统显示如图10,窗口右侧为VHDL的编辑窗口。

图10 新建的一个VHDL源文件的编辑窗口(4)在编辑窗口中编辑以下程序:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity test is

Port ( led:out std_logic;

key:in std_logic

);

end test;

architecture Behavioral of test is

begin

led <= key;

end Behavioral;

(5)输入程序后,存盘,如图11所示:

图11 存盘

( 6 ) 点击Processing->Start Compilation编译该文件,系统将开始编译,结束后,给出提示信息和编译结果,如图5-12所示:

图12 编译结果显示

( 7 ) 仿真

(8 )建立时序仿真文件,如图9所示,选择“Vector Waveform File”,出现图10的界面,在Name空白处击右键,Insert→Insert Node or Bus。

图10

图11

在图11中单击

图12

在12中单击,再单击→OK→OK。如图13所示

图13

仿真文件存盘时,文件名字必须与顶层文件同名,即test,默认即可。

图14

图15

图15是为仿真输入赋值的。如想赋值‘1’,单击。(9 )单击菜单进行仿真

图16

仿真结果如图17。

图17 仿真波形

(5)点击Assignment->Pins进行引脚分配,实验箱上拨码开关和LED对应的引脚分别为58和98,分配结果如图18所示。

图18 引脚分配

(6)参照步骤(5)重新编译系统,系统将生成FPGA配置文件,在编译过程中若显示警告可不理会;

(7)准备下载,注意打开实验箱电源,并连接下载电缆;

(8)点击Tools->Programmer将配置文件下载到FPGA,系统显示如图19所示,注意选择下载模式为JTAG,若下载线硬件显示“No Hardware”

(如图19所示),则点击Hardware Setup按钮,系统显示如图20,双击USB-Baster,设定其为当前选定硬件,再点Close返回。

图19 下载界面

图20 下载线配置

这时,系统界面如图21,选中Program/Configure,点击Start,将配置文件下载到FPGA。

图21 配置好下载电缆的下载界面

观察实验效果,尝试开关拨码开关K1,发光二极管D1-1将随之亮灭。

实验一、计数器程序设计

1、实验目的:

1)了解计数器的工作原理;

2)用VHDL语言编写60进制计数器,通过设计熟悉EDA开发的基本流程;

3)熟悉Quartus II软件的使用,练习使用文本编辑器;

4)掌握VHDL编写中的一些小技巧。

2、实验要求:

(1)在实验报告结果分析中要对测量所得数据进行分析

3、实验内容:

利用VHDL语言设计60进制计数器,编译、仿真、下载

4、主要仪器设备及试剂:

1)计算机一台,实验箱

2)QUARTUS II 8.1环境

5、实验步骤

按照QUARTUS II软件使用方法,为60进制计数器建工程。工程名应为cntm60(实体名)。参考程序如下。

要求编译、仿真、下载。

library ieee; --调用ieee库

use ieee.std_logic_1164.all; --使用ieee库中的1164包use ieee.std_logic_unsigned.all; --使用ieee库中的无符号包entity cntm60 is

port(ci :in std_logic; --来至低级的进位

nreset:in std_logic; --清零端

load :in std_logic; --置数端

d :in std_logic_vector(7 downto 0);--与置数端对应的数据输入端

clk :in std_logic; 时钟端

co :out std_logic; 进位输出端

qh :buffer std_logic_vector(3 downto 0); --计数器的高位输出端

ql :buffer std_logic_vector(3 downto 0)); --计数器的低位输出端end cntm60;

architecture behave of cntm60 is

begin

co<='1'when(qh="0101"and ql="1001"and ci='1')else'0';

process(clk,nreset)

begin

if(nreset='0')then

qh<="0000";

ql<="0000";

elsif(clk'event and clk='1')then

if(load='1')then

qh<=d(7 downto 4);

ql<=d(3 downto 0);

elsif(ci='1')then

if(ql=9)then

ql<="0000";

if(qh=5)then

qh<="0000";

else

qh<=qh+1;

end if;

else

ql<=ql+1;

end if;

end if;

end if;

end process;

end behave;

实验二、七段译码器器设计

1、实验目的:

1)了解七段译码器的原理。

2)进一步熟悉EDA开发的基本流程。

2、实验要求:

(1)在实验报告结果分析中要对测量所得数据进行分析3、实验内容:

利用VHDL语言完成动态译码器的设计,仿真,下载。

4、主要仪器设备及试剂:

1)计算机一台,实验箱

2)QUARTUS II 8.1环境

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity decl7 is

port(

a: in std_logic_vector(3 downto 0);

sel:out std_logic_vector(2 downto 0);

ledl7:out std_logic_vector(6 downto 0));

end decl7;

architecture behave of decl7 is

begin

sel <= "111";

process(a)

begin

case a is

when "0000" => ledl7 <= "0111111";

when "0001" => ledl7 <= "0000110";

when "0010" => ledl7 <= "1011011";

when "0011" => ledl7 <= "1001111";

when "0100" => ledl7 <= "1100110";

when "0101" => ledl7 <= "1101101";

when "0110" => ledl7 <= "1111101";

when "0111" => ledl7 <= "0000111";

when "1000" => ledl7 <= "1111111";

when "1001" => ledl7 <= "1101111";

电子技术基础实验指导书

《电子技术基础》实验指导书 电子技术课组编 信息与通信工程学院

实验一常用电子仪器的使用 一、实验类型-操作型 二、实验目的 1、学习电子电路实验中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等的主要技术指标、性能及正确使用方法。 2、初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。 三、实验原理 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对模拟电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1-1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。

图1-1 模拟电子电路中常用电子仪器布局图 1、示波器 示波器是一种用途很广的电子测量仪器,它既能直接显示电信号的波形,又能对电信号进行各种参数的测量。现着重指出下列几点: 1)、寻找扫描光迹 将示波器Y轴显示方式置“Y1”或“Y2”,输入耦合方式置“GND”,开机预热后,若在显示屏上不出现光点和扫描基线,可按下列操作去找到扫描线:①适当调节亮度旋钮。②触发方式开关置“自动”。③适当调节垂直()、水平()“位移”旋钮,使扫描光迹位于屏幕中央。(若示波器设有“寻迹”按键,可按下“寻迹”按键,判断光迹偏移基线的方向。) 2)、双踪示波器一般有五种显示方式,即“Y1”、“Y2”、“Y1+Y2”三种单踪显示方式和“交替”“断续”二种双踪显示方式。“交替”显示一般适宜于输入信号频率较高时使用。“断续”显示一般适宜于输入信号频率较低时使用。 3)、为了显示稳定的被测信号波形,“触发源选择”开关一般选为“内”触发,使扫描触发信号取自示波器内部的Y通道。 4)、触发方式开关通常先置于“自动”调出波形后,若被显示的波形不稳定,可置触发方式开关于“常态”,通过调节“触发电平”旋钮找到合适的触发电压,使被测试的波形稳定地显示在示波器屏幕上。 有时,由于选择了较慢的扫描速率,显示屏上将会出现闪烁的光迹,但被

(完整版)离散数学实验指导书及其答案

实验一命题逻辑公式化简 【实验目的】加深对五个基本联结词(否定、合取、析取、条件、双条件)的理解、掌握利用基本等价公式化简公式的方法。 【实验内容】用化简命题逻辑公式的方法设计一个表决开关电路。 实验用例:用化简命题逻辑公式的方法设计一个 5 人表决开关电路,要求 3 人以上(含 3 人)同意则表决通过(表决开关亮)。 【实验原理和方法】 (1)写出5人表决开关电路真值表,从真值表得出5 人表决开关电路的主合取公式(或主析取公式),将公式化简成尽可能含五个基本联结词最少的等价公式。 (2)上面公式中的每一个联结词是一个开关元件,将它们定义成 C 语言中的函数。 (3)输入5人表决值(0或1),调用上面定义的函数,将5人表决开关电路真值表的等价公式写成一个函数表达式。 (4)输出函数表达式的结果,如果是1,则表明表决通过,否则表决不通过。 参考代码: #include int vote(int a,int b,int c,int d,int e) { // 五人中任取三人的不同的取法有10种。 i f( a&&b&&c || a&&b&&d || a&&b&&e || a&&c&&d || a&&c&&e || a&&d&&e || b&&c&&d || b&&c&&e || b&&d&&e || c&&d&&e) return 1; else return 0; } void main() { i nt a,b,c,d,e; printf(" 请输入第五个人的表决值(0 或1,空格分开):"); scanf ("%d%d%d%d%d",&a,&b,&c,&d,&e); i f(vote(a,b,c,d,e)) printf(" 很好,表决通过!\n"); else printf(" 遗憾,表决没有通过!\n"); } // 注:联结词不定义成函数,否则太繁 实验二命题逻辑推理 【实验目的】加深对命题逻辑推理方法的理解。【实验内容】用命题逻辑推理的方法解决逻辑

测试技术实验指导书及实验报告2006级用汇总

矿压测试技术实验指导书 学号: 班级: 姓名: 安徽理工大学 能源与安全学院采矿工程实验室

实验一常用矿山压力仪器原理及使用方法 第一部分观测岩层移动的部分仪器 ☆深基点钻孔多点位移计 一、结构简介 深基点钻孔多点位移计是监测巷道在掘进和受采动影响的整个服务期间,围岩内部变形随时间变化情况的一种仪器。 深基点钻孔多点位移包括孔内固定装置、孔中连接钢丝绳、孔口测读装置组成。每套位移计内有5~6个测点。其结构及其安装如图1所示。 二、安装方法 1.在巷道两帮及顶板各钻出φ32的钻孔。 2.将带有连接钢丝绳的孔内固定装置,由远及近分别用安装圆管将其推至所要求的深度。(每个钻孔布置5~6个测点,分别为;6m、5m、4m、3m、2m、lm或12m、10m、8m、6m、4m、2m)。 3.将孔口测读装置,用水泥药圈或木条固定在孔口。 4。拉紧每个测点的钢丝绳,将孔口测读装置上的测尺推至l00mm左右的位置后,由螺丝将钢丝绳与测尺固定在一起。 三、测试方法 安装后先读出每个测点的初读数,以后每次读得的数值与初读数之差,即为测点的位移值。当读数将到零刻度时,松开螺丝,使测尺再回到l00mm左右的位置,重新读出初读数。 ☆顶板离层指示仪 一、结构简介: 顶板离层指示仪是监测顶板锚杆范围内及锚固范围外离层值大小的一种监测仪器,在顶板钻孔中布置两个测点,一个在围岩深部稳定处,一个在锚杆端部围岩中。离层值就是围岩中两测点之间以及锚杆端部围岩与巷道顶板表面间的相对位移值。顶板离层指示仪由孔内固定装置、测量钢丝绳及孔口显示装置组成如图1所示。

二、安装方法: 1.在巷道顶板钻出φ32的钻孔,孔深由要求而定。 2.将带有长钢丝绳的孔内固定装置用安装杆推到所要求的位置;抽出安装杆后再将带有短钢丝绳的孔内固定装置推到所要求的位置。 3.将孔口显示装置用木条固定在孔口(在显示装置与钻孔间要留有钢丝绳运动的间隙)。 4.将钢丝绳拉紧后,用螺丝将其分别与孔口显示装置中的圆管相连接,且使其显示读数超过零刻度线。 三、测读方法: 孔口测读装置上所显示的颜色,反映出顶板离层的范围及所处状态,显示数值表示顶板的离层量。☆DY—82型顶板动态仪 一、用途 DY-82型顶板动态仪是一种机械式高灵敏位移计。用于监测顶底板移近量、移近速度,进行采场“初次来压”和“周期来压”的预报,探测超前支撑压力高 峰位置,监测顶板活动及其它相对位移的测量。 二、技术特征 (1)灵敏度(mm) 0.01 (2)精度(%) 粗读±1,微读±2.5 (3)量程(mm) 0~200 (4)使用高度(mm) 1000~3000 三、原理、结构 其结构和安装见图。仪器的核心部件是齿条6、指针8 以及与指针相连的齿轮、微读数刻线盘9、齿条下端带有读 数横刻线的游标和粗读数刻度管11。 当动态仪安装在顶底板之间时,依靠压力弹簧7产生的 弹力而站立。安好后记下读数(初读数)并由手表读出时间。 粗读数由游标10的横刻线在刻度管11上的位置读出,每小 格2毫米,每大格(标有“1”、“22'’等)为10毫米,微读数 由指针8在刻线盘9的位置读出,每小格为0.01毫米(共200 小格,对应2毫米)。粗读数加微读数即为此时刻的读数。当 顶底板移近时,通过压杆3压缩压力弹簧7,推动齿条6下 移,带动齿轮,齿轮带动指针8顺时针方向旋转,顶底板每 移近0.01毫米,指针转过1小格;同时齿条下端游标随齿条 下移,读数增大。后次读数减去前次读数,即为这段时间内的顶底板移近量。除以经过的时间,即得

《EDA》实验指导书2013-6-1

辽东学院自编教材 《可编程逻辑器件原理及应用实验》指导书 李海成编 (计算机科学与技术、电子信息工程专业用) 姓名: 学号: 班级: 信息技术学院 2013年6月

目录 目录 (1) 实验一MAX+PLUS-II设计三八译码器......... 错误!未定义书签。实验二半加器 . (2) 实验三带进位输入的8位加法器 (4) 实验四数据比较器 (6) 实验五编码器 (9) 实验六组合逻辑电路的设计 (12) 实验七计数器 (14) 实验八触发器功能的模拟实现 (17)

(被加数)Ai (被加数)Bi (半加和)Hi (本位进位)Ci 实验二 半加器 实验类型: 验证性 实验课时: 2 指导教师: 李海成 时 间:201 年 月 日 课 次:第 节 教学周次:第 周 实验分室: 实验台号: 实 验 员: 一、 实验目的 1.设计并实验一个一位半加器 2.掌握CPLD/FPGA 组合逻辑设计基本方法。 二、 实验原理 计算机中数的操作都是以二进制进位的,最基本的运算就是加法运算。按照进位是否加入,加法器分为半加器和全加器电路两种。计算机中的异或指令的功能就是求两个操作数各位的半加和。一位半加器有两个输入、输出,如图2-1。 图2-1 一位半加器示意图 表2-1 一个半加大路的真值表如表2-1所示,根据真值表可得到半加器的函数表达式: Bi Ai Bi Ai Hi ?+?= Bi Ai Ci ?= 三、 实验连线 半加器的两个输入所对应的管脚同两位拨码开关相连,两个输入管脚名为a 、b ;两个输出所对应的管脚同两位发光二极管相连,两个输出管脚名为 c0和s,其中c0表示进位, s 表示相加结果。 四、

电子技术实验指导书

实验一常用电子仪器的使用方法 一、实验目的 了解示波器、音频信号发生器、交流数字毫伏表、直流稳压电源、数字万用电表的使用方法。二实验学时 2 学时 三、实验仪器及实验设备 1、GOS-620 系列示波器 2、YDS996A函数信号发生器 3、数字交流毫伏表 4、直流稳压电源 5、数字万用电表 四、实验仪器简介 1、示波器 阴极射线示波器(简称示波器)是利用阴极射线示波管将电信号转换成肉眼能直接观察的随时间变化的图像的电子仪器。示波器通常由垂直系统、水平系统和示波管电路等部分组成。垂直系统将被测信号放大后送到示波管的垂直偏转板,使光点在垂直方向上随被测信号的幅度变化而移动;水平系统用作产生时基信号的锯齿波,经水平放大器放大后送至示波管水平偏转板,使光点沿水平方向匀速移动。这样就能在示波管上显示被测信号的波形。 2、YDS996A函数信号发生器通常也叫信号发生器。它通常是指频率从0.6Hz至1MHz的正弦波、方波、三角波、脉冲波、锯齿波,具有直流电平调节、占空比调节,其频率可以数字直接显示。适用于音频、机械、化工、电工、电子、医学、土木建筑等各个领域的科研单位、工厂、学校、实验室等。 3、交流数字毫伏表 该表适用于测量正弦波电压的有效值。它的电路结构一般包括放大器、衰减器(分压器)、检波器、指示器(表头)及电源等几个部分。该表的优点是输入阻抗高、量程广、频率范围宽、过载能力强等。该表可用来对无线电接收机、放大器和其它电子设备的电路进行测量。 4、直流稳压电源: 它是一种通用电源设备。它为各种电子设备提供所需要的稳定的直流电压或电流当电网电压、负载、环境等在一定范围内变化时,稳压电源输出的电压或电流维持相对稳定。这样可以使电子设备或电路的性能稳定不变。直流电源通常由变压、整流、滤波、调整控制四部分组成。有些电源还具有过压、过流等保护电路,以防止工作失常时损坏器件。 6、计频器 GFC-8010H是一台高输入灵敏度20mVrms,测量范围0.1Hz至120MHz的综合计频器,具备简洁、高性能、高分辨率和高稳定性的特点。 5、仪器与实验电路的相互关系及主要用途:

基础工业工程实验指导书完整

实验1 流程程序分析 一、实验目的 1、学会用程序分析符号、记录并绘制某产品(或零件、服务)的流程程序图。 2、学会用“5W1H”分析(完成了什么?何处做?何时做?由谁做?如何做?为什么要这样做?)技术发掘问题,用“ECRS”原则来改进程序。 二、实验说明 1、流程程序分析是以产品或零件的加工全过程为对象,运用程序分析技巧对整个流程程序中的操作、搬运、贮存、检验、暂存五个方面加以记录和考查、分析。流程程序分析是对生产现场的宏观分析,但它比工艺流程更具体、内容更详细,用途更广泛。 2、运用“5W1H”提问技术,对“操作”、“搬运”、“贮存”、“检验”、“暂存”五个方面进行考查、逐项提问,从而达到考查、分析、发掘问题的目的。 3、在发掘问题的基础上,应用取消、合并、重排、简化四大原则来建立新的程序。 三、实验器材 电子天平、电子秒表、计算器、胶带台、胶带、胶水、记录板、A4纸、包装纸、物流箱等。 四、实验分组 5~6人一组,1人模拟顾客,1人模拟邮局业务员,1人使用记录板记录,1人使用电子秒表测时,其他人认真观察,做些辅助工作。 五、实验内容及步骤 本实验模拟邮局邮包发送流程,可参考下列流程进行: (1)顾客到达。(流程分析起点); (2)询问业务; (3)等待顾客填单; (4)从顾客手中接邮包和填好的包裹单;

(5)包装邮寄物; (6)称重; (7)使用计算器计算邮资;(2元起价,含200克,200克以上按1分/克计算邮资) (8)向顾客收取邮资; (9)登帐(实为计算机操作,这里用手工记账代替); (10)贴包裹单; (11)贴邮票; (12)将包裹放入邮件暂存箱; (13)把包裹单第二联交顾客; (14)顾客离开,服务结束。 实验时,先模拟1~2遍,然后负责记录的同学使用流程图符号记录“邮局业务员”的实际工作流程,绘制流程程序分析简图。同时记录时间和移动距离等参考数据。 六、实验报告要求 使用实习报告纸或课程设计纸书写。实验报告应包含以下内容: (1)实验目的;(2)实验器材;(3)实验分组;(4)实验内容与步骤; (5)5W1H分析过程;(6)ECRS改善过程;(7)规范的以为人主的流程程序图(含现行方法和改善方法)。(8)对分析改善进行总结。

混凝土结构实验指导书及实验报告(学生用)

土木工程学院 《混凝土结构设计基本原理》实验指导书 及实验报告 适用专业:土木工程周淼 编 班级::学 号: 理工大学 2018 年9 月

实验一钢筋混凝土梁受弯性能试验 一、实验目的 1.了解适筋梁的受力过程和破坏特征; 2.验证钢筋混凝土受弯构件正截面强度理论和计算公式; 3.掌握钢筋混凝土受弯构件的实验方法及荷载、应变、挠度、裂缝宽度等数据的测试技术 和有关仪器的使用方法; 4.培养学生对钢筋混凝土基本构件的初步实验分析能力。 二、基本原理当梁中纵向受力钢筋的配筋率适中时,梁正截面受弯破坏过程表现为典型的三个阶段:第一阶段——弹性阶段(I阶段):当荷载较小时,混凝土梁如同两种弹性材料组成的组合梁,梁截面的应力呈线性分布,卸载后几乎无残余变形。当梁受拉区混凝土的最大拉应力达到混凝土的抗拉强度,且最大的混凝土拉应变超过混凝土的极限受拉应变时,在纯弯段某一薄弱截面出现首条垂直裂缝。梁开裂标志着第一阶段的结束。此时,梁纯弯段截面承担的弯矩M cr称为开裂弯矩。第二阶段——带裂缝工作阶段(II阶段):梁开裂后,裂缝处混凝土退出工作,钢筋应力急增,且通过粘结力向未开裂的混凝土传递拉应力,使得梁中继续出现拉裂缝。压区混凝土中压应力也由线性分布转化为非线性分布。当受拉钢筋屈服时标志着第二阶段的结束。此时梁纯弯段截面承担的弯矩M y称为屈服弯矩。第三阶段——破坏阶段(III阶段):钢筋屈服后,在很小的荷载增量下,梁会产生很大的变形。裂缝的高度和宽度进一步发展,中和轴不断上移,压区混凝土应力分布曲线渐趋丰满。当受压区混凝土的最大压应变达到混凝土的极限压应变时,压区混凝土压碎,梁正截面受弯破坏。此时,梁承担的弯矩M u 称为极限弯矩。适筋梁的破坏始于纵筋屈服,终于混凝土压碎。整个过程要经历相当大的变形,破坏前有明显的预兆。这种破坏称为适筋破坏,属于延性破坏。 三、试验装置

EDA实验指导书

实验一上机学习电路原理图的绘制(2) 一、设计目的 1. 掌握PROTEL软件的安装、运行及卸载,掌握Protel 99 SE的基本操作; 2. 掌握设计管理器的使用和设计环境的设置,熟悉常用元件库和各主要菜单及命令的使用; 3.学习电路原理图的基本绘图方法 二、设计内容 1.设置原理图的环境参数,添加相应的元件库文件 2.绘制课本P92页的一个D/A功能模块电路图,其中由一片12位的D/A、两片运放、一些电阻和电容组成 图1-1 实验1电路原理图实例 三、设计设备和仪器 1.计算机 1 台(CPU要求Pentium 166MHz以上,推荐内存应为16MB以上,显示器分辨率为800×600(或1024×768)模式。) 2.Protel 99SE 软件 四、设计方法 根据电路图加载相应的元件库文件,然后选择放置电子元件,编辑各元件并精确调整元件位置。对放置好的元件根据例图连接导线,绘制总线和总线出入端口,放置网络标号及电源和输入输出端口。最后放置注释文字。 五、实验步骤 (1)新建名为自己学号姓名的设计数据库 点击“NEW新建”新建数据库文件 在上图所示的选项栏里设置名为自己姓名学号的数据库文件 (2)建立名为自己姓名的原理图文件

点击上图所示图标建立名为自己姓名的原理图文件(3)进入原理图设计环境,修改文件名并修改图纸大小为A4 点击下图中“Options”选项设置图纸大小 (4)加载常用元件库 (5)从元件库中选出需用元件放在原理图设计工作面上 (6)利用绘图工具对所有元器件进行连线 最终原理图如图所示。 六、设计报告 1.明确实验目的和实验要求; 2.写出详细的实验内容和步骤; 3.写出实验中遇到的问题及改正的方法 七、注意事项 熟悉绘图工具的功能和用法是绘制好电路原理图的关键。

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

电力电子实验指导书.

电力电子技术 实 验 指 导 书 北京化工大学信息科学与技术学院电工电子教学实习中心 二零零四年六月

目录 实验1 三相桥式全控整流电路的性能研究(设计性) (1) 实验2 直流斩波电路的性能研究(设计性) (5) 实验3 单相交流调压电路的性能研究(设计性) (7) 实验4 单相交直交变频电路的性能研究(设计性) (9)

实验1 三相桥式全控整流电路的性能研究(设计性) 1. 实验目的 熟悉三相桥式全控整流电路的接线,器件和保护情况。明确对触发脉冲的要求。观察在电阻负载、电阻电感负载和反电动势负载情况下电路的输出电压和电流的波形。 2. 实验内容 1)熟悉实验装置的电路结构和器件,检查连接主电路和触发电路的接插线,检查快速熔断器是否良好。电路见实验图1,其中实验图1a为主电路,图中所接负载为电感电阻负载,实验中也可以接电阻负载。实验图1b所示为触发电路,该触发电路由3片集成触发电路芯片KJ004和1片集成双脉冲发生器芯片KJ041组成。触发电路产生的触发信号用接插线与主电路各晶闸管相连接。 2) 熟悉采用KJ004和KJ041构成的触发电路。

6)接电阻电感负载时,在3L R ω>的情况下,调节p u 使0=co u 时0≈d U ,以后p u 固定不变,通过调节变阻器的阻值(有条件的也可改变电感值)改变负载阻抗角?,对于不同的?,观察不同的α时d u 、d i 、和T u 的波形,注意电流临界连续时,α和?的配合情况。记录触发角α分别为0?、30?、60?和90?时co u 与d U 的数值。 7)负载端接平波电抗器和直流他励电动机的电枢,合闸时必须注意使0=co u 、 90α≈?和0≈d U ,随后逐步调节co u ,观察d u 、d i 、L u 和电枢端D u 的波形,适量加载,并分别观察接上电抗器与短接电抗器时d i 的波形,注意电流断续时的现象。 3. 实验报告 1) 估算实验电路参数并选择测试仪表。 2) 分析触发器输出的双脉冲波形。 3) 分别绘制出电阻负载、电感电阻负载时α-L d U U 2/曲线。 4) 不同负载时,不同α与?时电流连续与断续的情况与分析。 5) 讨论与分析实验结果,特别注意对实验过程中出现的异常情况进行分析。

土工实验指导书及实验报告

土工实验指导书及实验报告编写毕守一 安徽水利水电职业技术学院 二OO九年五月

目录 实验一试样制备 实验二含水率试验 实验三密度试验 实验四液限和塑限试验 实验五颗粒分析试验 实验六固结试验 实验七直接剪切试验 实验八击实试验 土工试验复习题

实验一试样制备 一、概述 试样的制备是获得正确的试验成果的前提,为保证试验成果的可靠性以及试验数据的可比性,应具备一个统一的试样制备方法和程序。 试样的制备可分为原状土的试样制备和扰动土的试样制备。对于原状土的试样制备主要包括土样的开启、描述、切取等程序;而扰动土的制备程序则主要包括风干、碾散、过筛、分样和贮存等预备程序以及击实等制备程序,这些程序步骤的正确与否,都会直接影响到试验成果的可靠性,因此,试样的制备是土工试验工作的首要质量要素。 二、仪器设备 试样制备所需的主要仪器设备,包括: (1)孔径0.5mm、2mm和5mm的细筛; (2)孔径0.075mm的洗筛; (3)称量10kg、最小分度值5g的台秤; (4)称量5000g、最小分度值1g和称量200g、最小分度值0.01g的天平;

(5)不锈钢环刀(内径61.8mm、高20mm;内径79.8mm、高20mm或内径61.8mm、高40mm); (6)击样器:包括活塞、导筒和环刀; (7)其他:切土刀、钢丝锯、碎土工具、烘箱、保湿器、喷水设备、凡士林等。 三、试样制备 (一)原状土试样的制备步骤 1、将土样筒按标明的上下方向放置,剥去蜡封和胶带,开启土样筒取土样。 2、检查土样结构,若土样已扰动,则不应作为制备力学性质试验的试样。 3、根据试验要求确定环刀尺寸,并在环刀内壁涂一薄层凡士林,然后刃口向下放在土样上,将环刀垂直下压,同时用切土刀沿环刀外侧切削土样,边压边削直至土样高出环刀,制样时不得扰动土样。 4、采用钢丝锯或切土刀平整环刀两端土样,然后擦净环刀外壁,称环刀和土的总质量。 5、切削试样时,应对土样的层次、气味、颜色、夹杂物、裂缝和均匀性进行描述。 6、从切削的余土中取代表性试样,供测定含水率以及颗粒分析、界限含水率等试验之用。

EDA实验指导书

实验一 MAX+PLUSII软件的使用 [实验目的] 掌握MAX+PLUSII软件的使用。 [实验内容] 学习MAX+PLUSII软件的设计操作步骤。 [实验原理] MAX+PLUSII软件介绍。 MAX+PLUSII软件功能简介: 1 原理图输入(Graphic Editor) MAX+PLUSII软件具有图形输入能力,用户可以方便的使用图形编辑器输入电路图,图中的元器件可以调用元件库中元器件,除调用库中的元件以外,还可以调用该软件中的符号功能形成的功能块。 2 硬件描述语言输入(Text Editor) MAX+PLUSII软件中有一个集成的文本编辑器,该编辑器支持VHDL,AHDL和Verilog硬件描述语言的输入,同时还有一个语言模板使输入程序语言更加方便,该软件可以对这些程序语言进行编译并形成可以下载配置数据。 3 波形编辑器(waveform Editor) 在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(*.SCF文件),使用该编辑器的工具条可以容易方便的生成波形和编辑波形。 4 编译与仿真 当设计文件被编译好,并在波形编辑器中将输入波形编辑完毕后,就可以进行行为仿真了,通过仿真可以检验设计的逻辑关系是否准确。 5 器件编程 当设计全部完成后,就可以将形成的目标文件下载到芯片中,实际验证设计的准确性。[实验步骤] 设计过程如下: 1)输入项目文件名(File/Project/Name) 2)输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式) (Max+plusⅡ/graphic Editor, Max+plusⅡ/Text Editor, Max+plusⅡ/Waveform Editor) 3)指定CPLD型号(Assign/Device) 4)设置管脚、下载方式和逻辑综合的方式 (Assign/Global Project Device Option,Assign/Global Logic Synthesis) 5)保存并检查源文件(File/project/Save & Check) 6)指定管脚(Max+plusⅡ/Floorplan Editor) 7)保存和编译源文件(File/project/Save & Compile) 8)生成波形文件(Max+plusⅡ/Waveform Editor) 9)仿真(Max+plusⅡ/Simulator) 10)下载配置(Max+plusⅡ/Programmer) [实验报告要求] 不做要求。 实验二简单组合逻辑电路设计 [实验目的] 1 通过本实验提供的实例,掌握组合逻辑电路的设计方法。

实验指导书-全概论

《材料制备与表征实验》 (Experimental of Materials Preparation and Characterization Techniques) 指 导 书 中国矿业大学材料学院 2009 1 18

实验一溶胶凝胶法制备陶瓷粉体与性能表征 一、溶胶-凝胶法的基本原理 1846年法国化学家J.J.Ebelmen用SiCl4与乙醇混合后,发现在湿空气中发生水解并形成了凝胶。20世纪30年代W.Geffcken证实用金属醇盐的水解和凝胶化可以制备氧化物薄膜。1971年德国H.Dislich报道了通过金属醇盐水解制备了SiO2-B2O-Al2O3-Na2O-K2O多组分玻璃。1975年B.E.Yoldas和M.Yamane制得整块陶瓷材料及多孔透明氧化铝薄膜。80年代以来,在玻璃、氧化物涂层、功能陶瓷粉料以及传统方法难以制得的复合氧化物材料得到成功应用。 溶胶-凝胶法是用含高化学活性组分的化合物作前驱体,在液相下将这些原料均匀混合,并进行水解、缩合化学反应,在溶液中形成稳定的透明溶胶体系,溶胶经陈化胶粒间缓慢聚合,形成三维空间网络结构的凝胶,凝胶网络间充满了失去流动性的溶剂,形成凝胶。凝胶经过干燥、烧结固化制备出分子乃至纳米亚结构的材料。 胶体(colloid)是一种分散相粒径很小的分散体系,分散相粒子的重力可以忽略,粒子之间的相互作用主要是短程作用力。 溶胶(Sol)是具有液体特征的胶体体系,分散的粒子是固体或者大分子,分散的粒子大小在1~1000nm之间。 凝胶(Gel)是具有固体特征的胶体体系,被分散的物质形成连续的网状骨架,骨架空隙中充有液体或气体,凝胶中分散相的含量很低,一般在1%~3%之间。 溶胶-凝胶法的化学过程首先是将原料分散在溶剂中,然后经过水解反应生成活性单体,活性单体进行聚合,开始成为溶胶,进而生成具有一定空间结构的凝胶,经过干燥和热处理制备出纳米粒子和所需要材料。其最基本的反应是: (l)水解反应:M(OR)n +H2O → M (OH) x (OR) n-x +xROH (2) 聚合反应:-M-OH +HO-M-→ -M-O-M-+H2O -M-OR +HO-M-→ -M-O-M-+ROH 溶胶-凝胶法与其它方法相比具有许多独特的优点:(1)由于溶胶-凝胶法中所用的原料首先被分散到溶剂中而形成低粘度的溶液,因此,就可以在很短的时间内获得分子水平的均匀性,在形成凝胶时,反应物之间很可能是在分子水平上被均匀地混合。(2)由于经过溶液反应步骤,那么就很容易均匀定量地掺入一些微量元素,实现分子水平上的均匀掺杂。(3)与固相反应相比,化学反应将容易进行,而且仅需要较低的合成温度,一般认为溶胶一凝胶体系中组分的扩散在纳米范围内,而固相反应时组分扩散是在微米范围内,因此反应容易进行,温度较低。(4)选择合适的条件可以制备各种新型材料。 溶胶一凝胶法金属化合物经溶液、溶胶、凝胶而固化,再经低温热处理而生成纳米粒子。其特点反应物种多,产物颗粒均一,过程易控制,适于氧化物和Ⅱ~Ⅵ族化合物的制备。溶胶一凝胶法作为低温或温和条件下合成无机化合物或无机材料的重要方法,在软化学合成中占有重要地位。在制备玻璃、陶瓷、薄膜、纤维、复合材料等方面获得重要应用,更广泛用于制备纳米粒子。 二、实验目的与要求 1.了解溶胶一凝胶法的基本原理。 2.通过实验掌握溶胶凝胶的实验方法。 3.了解并掌握分体颗粒的基本表征方法。 三、实验过程与细节 1. 实验药品及配制 实验所用原料见表1。用去离子水配制0.5M的(NH4)2 HPO4溶液1000ml。无水乙醇配制0.5 M的Ca(NO3)2溶液1000 ml。Ca含量采用EDTA络合滴定分析,含量用磷钼酸喹啉重量法测定。分别用1+1的

CAD上机实验指导书及实验报告

北京邮电大学世纪学院 实验、实习、课程设计报告撰写格式与要求 (试行) 一、实验报告格式要求 1、有实验教学手册,按手册要求填写,若无则采用统一实验报告封面。 2、报告一律用钢笔书写或打印,打印要求用A4纸;页边距要求如下:页边距上下各为2.5厘米,左右边距各为2.5厘米;行间距取固定值(设置值为20磅);字符间距为默认值(缩放100%,间距:标准)。 3、统一采用国家标准所规定的单位与符号,要求文字书写工整,不得潦草;作图规范,不得随手勾画。 4、实验报告中的实验原始记录,须经实验指导教师签字或登记。 二、实习报告、课程设计报告格式要求 1、采用统一的封面。 2、根据教学大纲的要求手写或打印,手写一律用钢笔书写,统一采用国家标准所规定的单位与符号,要求文字书写工整,不得潦草;作图规范,不得随手勾画。打印要求用A4纸;页边距要求如下:页边距上下各为2.5厘米,左右边距各为2.5厘米;行间距取固定值(设置值为20磅);字符间距为默认值(缩放100%,间距:标准)。 三、报告内容要求 1、实验报告内容包括:实验目的、实验原理、实验仪器设备、实验操作过程、原始数据、实验结果分析、实验心得等方面内容。 2、实习报告内容包括:实习题目、实习任务与要求、实习具体实施情况(附上图表、原始数据等)、实习个人总结等内容。 3、课程设计报告或说明书内容包括:课程设计任务与要求、总体方案、方案设计与分析、所需仪器设备与元器件、设计实现与调试、收获体会、参考资料等方面内容。 北京邮电大学世纪学院 教务处 2009-8

实验报告 课程名称计算机绘图(CAD) 实验项目AutoCAD二维绘图实验 专业班级 姓名学号 指导教师实验成绩 2016年11月日

09EDA实验指导书

EDA实验指导书

目录 实验一基于QUARTUSII图形输入电路的设计 (2) 实验二含异步清零和同步使能的加法计数器 (5) 实验三图形和VHDL混合输入的电路设计 (7) 实验四矩阵键盘接口电路的设计 (10) 实验五交通灯控制电路实验 (16) 附图EP1K10TC100管脚图 (24) 主芯片:ACEX 1K 系列的EP1K10TC100-3 下载电缆:Byte Blaster II

实验一基于QUARTUSII图形输入电路的设计 一、实验目的 1、通过一个简单的3线—8线译码器的设计,掌握组合逻辑电路的设计方法。 2、初步了解QUARTUSII原理图输入设计的全过程。 3、掌握组合逻辑电路的静态测试方法。 二、实验原理 3线-8线译码器三输入,八输出。当输入信号按二进制方式的表示值为N时,输出端标号为N的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。其真值表如表1-1所示 输入输出 D2 D1 D0 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 0 0 1 1 0 0 1 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 表1-1 3线-8线译码器真值表 译码器不需要像编码器那样用一个输出端指示输出是否有效。但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使 能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表 示无任何信号。本例设计中没有考虑使能输入端,自己设计时可以考虑加入使 能输入端时,程序如何设计。 三、实验内容 在本实验中,用三个拨动开关来表示3线-8线译码器的三个输入(D2-D0);用

15电力电子实验指导书

《电力电子技术》 实 验 指 导 书

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 二、实验所需挂件及附件 三、实验线路及原理 锯齿波同步移相触发电路的原理图参见挂件说明。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见挂件说明和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读电力电子技术教材中有关锯齿波同步移相触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为

220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽 度,并比较“3”点电压U 3和“6”点电压U 6 的对应关系。 (2)调节触发脉冲的移相范围 将控制电压U ct 调至零(将电位器RP2顺时针旋到底),用示波器观察同步电压 信号和“6”点U 6的波形,调节偏移电压U b (即调RP3电位器),使α=170°,其波 形如图2-1所示。 图2-1锯齿波同步移相触发电路 (3)调节U ct (即电位器RP2)使α=60°,观察并记录U 1 ~U 6 及输出“G、K” 脉冲电压的波形,标出其幅值与宽度,并记录在下表中(可在示波器上直接读出,读数时应将示波器的“V/DIV”和“t/DIV”微调旋钮旋到校准位置)。 (4)

显微镜与望远镜实验指导书_全

一、实验目的 1.通过实验掌握显微镜、望远镜的基本原理; 2.通过实际测量,了解显微镜、望远镜的主要光学参数; 3.根据指示书提供的参考材料自己选择2套方案,测出水准仪的放大率并比较与实验结果是否相符。 二、实验器材 1.显微镜实验:测量显微镜、分辨率板、分辨率板放大图、透明刻线板、台灯,高倍(40×、45×)、中倍(8×或10×)、低倍(2.5×、3×或4×)显微物镜各一个,目镜若干(4×、5×、10×、15×等)。 2.望远镜实验:25×水准仪、平行光管、1×长工作距测量显微镜、视场仪、白炽灯、钢板尺、升降台、光学导轨、玻罗板、分辨率板。 三、实验原理 (1)显微镜原理: 显微镜是用来观察近处微小物体细节的重要目视光学仪器。它对被观察物进行了两次放大:第一次是通过物镜将被观察物成像放大于目镜的分划板上,在很靠近物镜焦点的位置上成倒立放大实像;第二次是经过目镜将第一次所成实像再次放大为虚像供眼睛观察,目镜的作用相当于一个放大镜。 由于经过物镜和目镜的两次放大,显微镜总的放大率Γ应是物镜放大率β和目镜放大率Γ1的乘积。 Γ=β×Γ 1 绝大多数的显微镜,其物镜和目镜各有数个,组成一套,以便通过调换获得各种放大率。显微镜取下物镜和目镜后,所剩下的镜筒长度,即物镜支承面到目镜支承面之间的距离称为机械筒长。我国标准规定机械筒长为160毫米。 显微镜的视场以在物平面上所能看到的圆直径来表示,其视场受安置在物镜像平面上的专设视场光阑所限制。 显微镜的分辨率即它所能分辨的两点间最小距离: nSinU λδ61.0= 式中:λ为观测时所用光线的波长;nSinU 为物镜数值孔径(NA )。 从上式可见,在一定的波长下,显微镜的分辨率由物镜的数值孔径所决定,光学显微镜的分辨率,基本上与所使用光的波长是一个数量级。为了充分利用物镜的放大率,使被物镜分辨出来的细节,能同时被眼睛所看清,显微镜应有恰当的放大率。综合考虑显微物镜和人眼自身的分辨率,可得出显微镜适当的放大率范围是: 500NA<Γ<1000NA 这个范围的放大率称为有效放大率。如使用比有效放大率更小的放大率,则不能看清物镜已经分辨出的某些细节;如取用高倍目镜得到比有效放大率上限更

《流体力学》课程实验(上机)指导书及实验报告格式

《流体力学》课程实验指导书袁守利编 汽车工程学院 2005年9月

前言 1.实验总体目标、任务与要求 1)学生在学习了《流体力学》基本理论的基础上,通过伯努利方程实验、动量方程实 验,实现对基本理论的验证。 2)通过实验,使学生对水柱(水银柱)、U型压差计、毕托管、孔板流量计、文丘里流量计等流体力学常用的测压、测流量装置的结构、原理和使用有基本认识。 2.适用专业 热能与动力工程 3.先修课程 《流体力学》相关章节。 4.实验项目与学时分配 5. 实验改革与特色 根据实验内容和现有实验条件,在实验过程中,采取学生自己动手和教师演示相结合的方法,力求达到较好的实验效果。

实验一伯努利方程实验 1.观察流体流经实验管段时的能量转化关系,了解特定截面上的总水头、测压管水头、压强水头、速度水头和位置水头间的关系,从而加深对伯努利方程的理解和认识。 2.掌握各种水头的测试方法和压强的测试方法。 3.掌握流量、流速的测量方法,了解毕托管测速的原理。 二、实验条件 伯努利方程实验仪 三、实验原理 1.实验装置: 图一伯努利方程实验台 1.水箱及潜水泵 2.上水管 3.电源 4.溢流管 5.整流栅 6.溢流板 7.定压水箱 8.实验 细管9. 实验粗管10.测压管11.调节阀12.接水箱13.量杯14回水管15.实验桌 2.工作原理 定压水箱7靠溢流来维持其恒定的水位,在水箱下部装接水平放置的实验细管8,水经实验细管以恒定流流出,并通过调节阀11调节其出水流量。通过布置在实验管四个截面上的四组测压孔及测压管,可以测量到相应截面上的各种水头的大小,从而可以分析管路中恒定流动的各种能量形式、大小及相互转化关系。各个测量截面上的一组测压管都相当于一组毕托管,所以也可以用来测管中某点的流速。 电测流量装置由回水箱、计量水箱和电测流量装置(由浮子、光栅计量尺和光电子

EDA实验指导书

ED心验指导书齐鲁理工学院

目录 实验一Protel DXP 2004认识实验 0 实验二两级阻容耦合三极管放大电路原理图设计 0 实验三原理图元件库建立与调用 (2) 实验四两级阻容耦合三极管放大电路PCB图设计............................ .4实验五集成电路的逻辑功能测试.. (6) 实验六组合逻辑电路分析与设计............................................... 1.1实验七Quartus II的使用 ................................................. 1.6实验八组合逻辑器件设计. (16) 实验九组合电路设计 (24)

实验一Protel DXP 2004 认识实验 一、实验目的 1. 掌握Protel DXP 2004的安装、启动和关闭。 2. 了解Protel DXP 2004主窗口的组成和各部分的作用。 3. 掌握Protel DXP 2004工程和文件的新建、保存、打开。 二、实验内容与步骤 1、Protel_DXP_2004 的安装 (1) 用虚拟光驱软件打开Protel_DXP_2004.iso 文件 (2) 运行setup\Setup.exe 文件,安装Protel DXP 2004 (3) 运行破解程序后,点击导入模版”,先导入一个ini文件模版(如果要生成单机版的License选择Unified Nexar-Protel License.ini;要生成网络版的License选择Unified Nexar-Protel Network License.ini ),然后修改里面的参数:TransactorName=Your Name (将"Your Name替换为你想要注册的用户名);SerialNumber=0000000 (如果你只有一台计算 机,那么这个可以不用修改,如果有两台以上的计算机且连成局域网,那么请保证每个License文件中的SerialNumber=为不同的值。修改完成后点击生成协议文件",任意输入一 个文件名(文件后缀为.alf)保存,程序会在相应目录中生成1个License文件。点击替换密钥”,选取DXP.exe (在DXP 2004安装目录里,默认路径为),程序会自动替换文件中的公开密钥。将前面生成的License文件拷贝至DXP 2004安装目录里(默认路径为)授权完成。 (4) 打开Protel 在左上角DXP 菜单下的Preference 菜单项里,选中Use localize resources后关闭Protel_DXP_2004 ,重新打开软件变为简体中文版本。 2、Protel_DXP_2004 的卸载 卸载Protel_DXP_2004的具体步骤如下: (1) 在Windows的“开始”菜单中选择“设置/控制面板”,然后在控制面板中选择“添加/删除程序”选项,将弹出对话框。从中选择DXP 2004应用软件。 (2) 单击删除”按钮,将弹出对话框,询问用户是否真的要删除程序。 (3) 单击“是”按钮,开始卸载。在卸载过程中,若想终止卸载,可单击“取消”按

相关文档
相关文档 最新文档