文档库 最新最全的文档下载
当前位置:文档库 › 双相信号发生器

双相信号发生器

双相信号发生器
双相信号发生器

题目:双相信号发生器(A)

摘要:

本设计是一个基于FPGA的∑-Δ D/A转换器原理的双相信号发生器,通过STM32控制信号发生器及后级模拟电路。该发生器可以在较大的频率范围内输出正弦波,方波等多种波形,信号的相位差通过FPGA程序可以自由调节,其分辨率可达12bit,幅值通过高精度的数字电位器可以随意设置,这使得输出信号可保持在规定的分辨率之上,信号的失真度低于设定值,同时该信号发生器还可以液晶显示信号的频率、幅值及相位差等信息,通过按键实现对整个系统的控制。本系统信号发生部分采用基于FPGA的数字技术实现,通过后级模拟电路对信号进行相应处理,使得该系统具有抗干扰能力强,精度高,可靠性高等优点。

关键词:FPGA、STM32、双相信号发生器、Σ-Δ

Abstract:

This design is a double that number based on FPGA STM32 control signal generator, through the generator and rear analog circuits. The generator can be greater frequency range, the output pulse waveform of sine signals, the phase difference through FPGA program can free adjustment, the resolution of 12bit, amplitude through precision digital potentiometer can set, this makes the output signal can be kept in the resolution of the distortion degree above, below the setting signal, the signal generator can also LCD signal amplitude and frequency, phase, through the key information such as the realization of the control of the whole system. This system based on FPGA part adopts signal of digital technology, through the simulation of signal processing circuits, makes the corresponding system has strong anti-jamming capability, and high reliability etc.

key word: FPGA, STM32, double that number generators,Sigma-Delta

1.系统设计

1.1设计要求

一、任务

设计、制作一个双相信号发生器,在特定的频率范围内输出正弦波,信号的幅度和相位差可以程控设置,也可以输出矩形波和方波。作品电路中不得使用任何DAC芯片、DDS芯片或微处理器的DAC功能。数字逻辑系统推荐使用EXCD-1 SOC开发板,信号发生器的工作电源可外置。

二、要求

1.基本要求

(1)两路信号均可输出正弦波、方波、矩形波;

(2)两路信号输出最大幅度不低于3V,幅度可调,设置分辨率不低于10bit;

(3)信号频率范围从9.5kHz到10.5kHz可调,步进值不大于100Hz,频率准确度不低于0.1%;

(4)正弦波信号在整个频率设置范围内,波形失真度不大于2%;

(5)两路信号的相位差可以在0—359度内可调,设置分辨力不大于1度;

(6)矩形波占空比在0.1%—99.9%范围内可调,设置分辨率不低于0.1%;

2.发挥部分

(1)两路信号输出最大幅度不低于3.5V,幅度可调,设置分辨率不低于12bit;

(2)正弦信号频率从8kHz到12kHz变化,信号平坦度优于90%;

(3)正弦信号频率从8kHz到12kHz变化,信号失真度不大于2%;

(4)两路正弦信号的相位差可以在0—359.9度内可调,设置分辨力不大于0.1度;

(5)两路均可产生FSK调制波,内调制信号的频率不大于10Hz,上边频为12kHz,下边频8kHz;

(6)两路均可产生ASK调制波,内调制信号的频率不大于10Hz,载波频率为10kHz,调制率为100%;

(7)其他。

三、说明

1.微处理器系统板、工作电源可用成品,也可自制,必须自备。

2.设计报告正文中应包括系统总体框图、波形发生原理、数字逻辑原理框图、主要的测试结果。详细电路原理图、HDL程序或电路图、测试结果用附件给出。

3.题目中所有准确度及分辨率指标必须是电路原理及器件硬件所保证,报告中需要有理论计算。

1.2方案比较

1.2.1信号发生方案

方案一:根据FPGA的PWM原理产生波形。PWM原理的优点是从处理器到被控系统信号都是数字形式的,无需进行数模转换。让信号保持为数字形式可将噪声影响降到最小,噪声只有在强到足以将逻辑1改变为逻辑0或将逻辑0改变为逻辑1时,才能对数字信号产生影响。但是PWM的高低电平分别为VH和VL,理想的情况VL等于0,但实际应用中一般不等于0,这就使得产生的波形精度受到限制。

方案二:根据FPGA的∑-Δ D/A转换器原理实现波形的产生。数模转换器可以将一个二进制数字量转换成与该数字量成正比的电压值,应用于可编程电压源、波形发生器等。用FPGA可以实现一个简单的一阶16位∑-Δ 型DAC,而FPGA的速度和柔性的输出结构非常适合该DAC的实现。其中∑-Δ变换是将信号按时间分割,保持信号幅度恒定。我们所采用的∑-Δ DAC转换器采用了1比特变换技术,克服了采用较多比特数时所带来的量化非线性误差、纠错困难的缺点,并且∑-Δ DAC的内部仅由2个10位的二进制加法器,1个10位的锁存器和一个D触发器组成,用FPGA实现时只需耗费极少的逻辑资源,即使用最小的FPGA也能实现。

显然第二种方案能够提供高精度的波形输出,具有更大的优越性、灵活性,所以采用了第二种方案进行设计。

1.2.2幅度控制方案

方案一:采用传统的信号处理方式,先对信号进行衰减,然后再进行放大。而要想实现规定范围内的幅值,需要在放大级输入mV级的的信号,而由于电路中噪声的影响,很难实现对信号的准确放大,而因此得不到理想的信号输出。

方案二:采用先放大后衰减的方式对信号进行处理。用此种方法可以先把信号放大到一定程度,然后再根据实际的需要衰减到相应的幅值。这种方式既可以实现对信号幅值的自由调节,也以避免电路中存在的噪声和微小纹波对信号的干扰。

综上所述,选择第二种方案实现对信号的幅值进行控制。

1.3 系统的基本框图和功能实现

图1.3.1 系统基本框图

经过仔细的分析和论证,得到了图1.3.1的系统框图。本系统由高速的STM32作为控制核心,主要用于对系统的控制,波形的切换和幅值的调节。此信号发生器主要功能如下:

利用FPGA 产生正弦波、三角波、锯齿波、ASK 调制波、FSK 调制波等波形,经过滤波隔直电路,将其调整为纯交流信号;FPGA 产生的方波、矩形波通过整形电路调整为标准波形,两路波形通过幅值调节电路将其衰减到任意幅值,末级电压放大输出;STM32控制FPGA 输出各种波形,同时控制继电器进行信号的切换和控制数字电位器使信号幅值的衰减;本系统的输出信号频率在8kHz 到12kHz 之间;幅度可以在规定的范围内自由调节,最大幅值可达9V ,信号的产生精度高达16bit ,幅值的分辨率为1/10000,优于13bit ,信号的相位差分辨率可达12bit ,优于0.1度。

FPGA 信号发生器

信号切 换

正弦、三角、锯齿、FSK 、ASK

幅值调节电路

滤波隔直 电路

末级输 出

整形电路

方波、矩形波 STM32主控制器

2.系统的硬件设计与实现

2.1 滤波隔直电路的设计

为了产生平滑标准的信号,系统采用了滤波隔直电路对波形进行后级处理。滤波器RC 电路组成,其电路图如图2.1.2所示。此滤波电路参照示波器探头原理进行设计,滤波效果好,抗干扰能力强,主要用于滤除信号中的高频分量和瞬变杂散干扰信号,以获得较为完美的信号,提高电路的稳定性和改善电路的噪声性能。而NE5532具有很好的噪声性能,能够提高输出驱动能力和相当高的小信号和电源带宽,完全可以满足题目的要求。隔直部分由一阶有源高通滤波器组成,基于5532的良好特性,对直流信号起到非常好的隔离作用。

根据下式可计算出此低通滤波器的截止频率,

232223

out

in

22232223

11

U U 11

R jwc jwc R R jwc jwc +=++

(2.1.0)

2w f π= (2.1.1)

in

U 是低通滤波器的输入电压,

out

U 是输出电压,根据电路中电阻、电容值,由式2.1.0

和式2.1.1可以解得截止频率为71.3K 。

R 2210K

R 2360

C 237pF C 22221

32

1

8

4

U1A

NE5532

R 241K C 240.68uF

R 25

100K R 261K R 271K

R 282K

5

6

7U1B

NE5532IN

+12V

-12V OUT

图2.1.2 滤波隔直电路

2.2 整形电路设计

方波整形电路由高速通用运算放大器LM318,电阻和电位器构成正反馈电路,其电路图如图2.1.2所示。由于FPGA 输出的方波并不理想,并且包含直流分量,此整形电路主要实现对方波波形的调整,滤除直流,通过调节电位器的阻值来设定合适的基准电压,同时利用正反馈电路自身的特性,输入电压大于基准电压,输出为高电平+5V ,反之输出低电平-5V ,便可以在输出端得到较理想的方波。此处用运算放大器LM318来代替比较器,是因为LM318是推挽输出,不同于比较器的集电极开路输出,带负载能力强,经过数字电位器衰减网络之后依然可以得标准的方波,很好地满足题目要求。

IN

3

2

6

15

7

4U2

LM318

R 311K

123J31C ON3

+5V

R 32100K

+5V

-5V

OUT

图2.1.2 方波整形电路

2.3幅值调节电路设计

信号的幅值调节部分由数字电位器衰减网络电路组成。前级输出信号已经放大到合适的幅值,然后根据设定进行程控衰减,可以满足信号分辨率不低于12bit 的要求。

为了达到设计要求的12bit 分辨率,我们采用了两级数字电位器,每个数字电位器的设定分辨率为1/100,第一级由两个数字电位器产生输入信号百分之一的电压差值,用NE5532组成电压跟随器对第一级电压进行跟随,与第二级隔离。再由第二级数字电位器对前级产生的电压差值进行分压,可以通过设置两级数字电位器达到1/10000的分辨率,远超过了要求的12bit 分辨率。在实际试验中通过STM32的控制可以准确调节幅值,精度和线性度均比较高,

达到了预期的效果。

INC 1U/D 2VH/R H 3VSS 4

VW/RW 5

VL/RL 6CS 7VCC 8U3

X9C103INC 1U/D 2VH/R H 3VSS 4

VW/RW 5VL/RL 6CS 7VCC 8

U4

X9C103

INC 1U/D 2VH/R H 3VSS 4

VW/RW 5

VL/RL 6CS 7VCC 8

U5

X9C102

CH1_OUT

+5V +5V +5V INC1INC2

INC3U/D1U/D2

U/D3CS1

CS2CS3

3

2

1

8

4

U6A NE5532

56

7U6B

NE5532

R42500

R41500+12V

-12V CH1_DECAYED

图2.3 幅值衰减电路

2.4末级放大电路设计

由数字电位器输出的信号,经过一级运算放大得到最后的输出,幅值理论上可达到9伏以上,运放采用高性能、高速的LM318,实验证明,其信号上升速度小于700nS ,幅值可达9伏,完全符合要求。

3

2

6

1

5

7

4U8

LM318

R 611K

R 621K

R 631K +12V

-12V

12

J61C ON2

IN

图2.4幅值放大电路

3.系统的软件设计

3.1 FPGA 波形产生

系统采用了硬件描述语言Verilog 进行设计,将所要实现的功能集成于FPGA 芯片中,内部逻辑原理图和波形发生程序如附录2所示,∑-Δ DAC 的内部仅由2个16位的二进制加法器,1个16位的锁存器和一个D 触发器组成,用FPGA 实现时只需耗费极少的逻辑资源。然后通过Quartus Ⅱ软件开发平台和Modelsim6.5仿真工具,对设计文件完成逻辑编译、逻辑化简、综合及优化,最后对FPGA 芯片进行编程,实现系统的设计要求。

图3.1是Δ-Σ调变1bitDAC 流程图,数字波形输入经过积分器以后,输出为二值模拟波形,再进过模拟低通滤波器之后,还原成模拟波形,当模拟量小于0的时候,1bit 输出大部分是负1,大于0的时候1bit 输出大部分时正1,于是1bit 输出为正负1交错。总之,Δ-Σ就是要产生一串1bit 信号,这串信号和输出波形在低频部分即20KHz 以下,一模一样,而其他量化误差则尽量移向高频,这些高频误差就可以用低通滤波器滤除,20KHZ 以下的低频部分即是我们所要的信号。

图3.1 Δ-Σ调变1bitDAC

3.2 STM32软件控制

系统在软件设计时采用C 语言,对主控制器STM32进行编程实现各项功能。程序是在WindowsXP 环境下采用Keil4软件编写的,可以实现信号的发生、信号的切换和信号的调节等功能。

D

D

LPF

1 bit D/A

比较器

Xd Ud

Yd

Ya

Xa

低通滤波器

数字积分器

首先STM32与FPGA 进行通信,控制FPGA 产生所需波形,然后控制后级模拟电路的信号切换,最后调节数字电位器使其对信号的幅值进行调节。

程序流程图如下:

4.系统测试

4.1 测试仪器

仪器名称 型号 指标 数量 双通道数字示波器 DS50-62MA 100MHz 1 低频信号发生器 EE1652 20KHz 1 数字万用表 VC-9205A 3位半

1 稳压电源 YH-225 +5V/-5V/+12V/-12V 1 计算机

惠普PC

3

4.2 主要的测试结果

用示波器来测量输出信号的频率、电压值,并与理论值进行对照,详细测量数据见下表:

开 始

信号发生

按键 检测

信号 切换

幅值 调节

N

Y

Y

表4.2 .1 正弦波输出电压值测量记录表

8K 8.5K 9K 10K 10.5K 11K 平均值理论值

频率

(KHz)

9.6 9.6 9.6 9.6 9.6 9.6 9.6 9.5

最大值

(V)

-9.4 -9.4 -9.4 -9.4 -9.4 -9.4 -9.4 -9.5

最小值

(V)

表4.2.2 方波输出电压值测量记录表

8K 8.5K 9K 10K 10.5K 11K 平均值理论值

频率

(KHz)

8.6 8.6 8.6 8.6 8.6 8.6 8.6 9.1

最大值

(V)

-9.0 -9.0 -9.0 -9.0 -9.0 -9.0 -9.0 -9.0

最小值

(V)

5.结论

本系统采用以stm32和FPGA芯片为核心部件,利用模拟和数字原理技术配合信号的后级模拟处理电路实现了信号的发生、调节、切换等功能,同时还添加了液晶显示部分,最终使本信号发生器完成了竞赛题目中所要求的各项任务。

在系统设计过程中,力求硬件线路简单,充分发挥软件编程方便灵活的特点,来满足系统的设计要求。

在本次设计过程中,遇到了许多突发事件和各种困难,但是通过我们的自己分析和自我状态调整后解决了问题。在这个过程我们深刻的体会到了共同协作和团队精神的重要性,提高了自己解决问题的能力。

参考文献

1童诗白,华成英.模拟电子技术基础.北京:高等教育出版社2006.5

2黄智伟.全国大学生电子设计竞赛训练教程.北京:电子工业出版社2005.1

附录1 电子元件明细表

名称参数数量NE5532 4 LM318 4

继电器DS2Y-S-DC5V 4

数字电位器X9C103 4

X9C102 2

三极管8050 2

极性电容470uF 8

瓷片电容104 34

103 6

221 2

7p 2

耦合电容WIMA MSK10 2

电阻1K 24

2K 2

10K 2

60Ω 2

100K 4

500Ω 2

磁珠 2

附录2 HDL程序及原理图

`timescale 1ns/1ns

`define MSBI 15

//This is a Delta-Sigma Digital to Analog Converter

module dac(DACout, DACin, Clk, Reset);

output DACout; // This is the average output that feeds low pass filter

reg DACout = 0;

input wire signed [`MSBI:0] DACin; // DAC input

input Clk;

input Reset;

reg [`MSBI+2:0] DeltaAdder; // Output of Delta adder

reg [`MSBI+2:0] SigmaAdder; // Output of Sigma adder

reg [`MSBI+2:0] SigmaLatch; // Latches output of Sigma adder

reg [`MSBI+2:0] DeltaB; // B input of Delta adder

always @ (SigmaLatch) DeltaB = {SigmaLatch[`MSBI+2],SigmaLatch[`MSBI+2]} << (`MSBI+1);

always @ (DACin or DeltaB) DeltaAdder = DACin + DeltaB;

always @ (DeltaAdder or SigmaLatch) SigmaAdder = DeltaAdder + SigmaLatch; always @ (posedge Clk or posedge Reset)

begin

if(Reset)

begin

SigmaLatch <= #1 1'b1 << (`MSBI+1);

DACout <= #1 1'b0;

end

else

begin

SigmaLatch <= #1 SigmaAdder;

DACout <= #1 SigmaLatch[`MSBI+2];

end

end

Endmodule

`timescale 1ns/1ns

`define DDS_BW 15

module dds

(

input iCLK,

input rst_n,

input [23:0] fre_ctrl,

input signed [12:0] phs_ctrl,

input [11:0] duty_ctrl,

input phs_sync_in,

output phs_sync_out,

output [`DDS_BW:0] oSINE_WA VE,

output oRECT_WA VE,

output [`DDS_BW:0] oSAW_WA VE,

output [`DDS_BW:0] oTRI_WA VE

);

reg [23:0] fre_ctrl_r = 0;

reg [11:0] phs_ctrl_r = 0;

reg [11:0] duty_ctrl_r = 0;

reg [31:0] acc_reg = 0;

reg phs_sig = 0;

wire [11:0] addr;

wire phs_sync;

wire signed [11:0] phs_ctrl_abs =

phs_ctrl[12] ? (~phs_ctrl[11:0]+1'b1) : phs_ctrl[11:0]; always @ (posedge iCLK or negedge rst_n)

begin

if (!rst_n)

begin

acc_reg <= 0;

phs_sig <= 0;

fre_ctrl_r <= 0;

phs_ctrl_r <= 0;

end

else

begin

if ( (fre_ctrl_r != fre_ctrl || phs_ctrl_r != phs_ctrl_abs || duty_ctrl_r != duty_ctrl) && phs_sync_in )

begin

fre_ctrl_r <= fre_ctrl;

phs_ctrl_r <= phs_ctrl_abs;

duty_ctrl_r <= duty_ctrl;

acc_reg <= 32'd0;

phs_sig <= 1'b0;

end

else

begin

acc_reg <= acc_reg + fre_ctrl_r;

if (addr == phs_ctrl_r && phs_sig == 1'b0)

begin

phs_sig <= 1'b1;

acc_reg <= 32'd0;

end

else phs_sig <= phs_sig;

end

end

end

assign phs_sync_out = (addr == 0) ? 1'b1 : 1'b0;

assign addr = acc_reg[31:20];

wire [9:0] addr1 = (!phs_sig) ? 10'd0 :

addr[10] ? ~addr[9:0] :

addr[9:0] ;

wire [`DDS_BW:0] rom_data;

rom_1024x16b rom_1024x16b_inst

(

.address(addr1),

.clock(iCLK),

.q(rom_data)

);

/*

reg addr10_delay1;

always @ (posedge iCLK) addr10_delay1 <= addr[11];

reg addr10_delay2;

always @ (posedge iCLK) addr10_delay2 <= addr10_delay1;

*/

reg [`DDS_BW:0] value;

//always @(posedge iCLK) value <= addr[9] ? {1'b0,-rom_data} : {1'b1,rom_data}; always @(posedge iCLK or negedge rst_n)

begin

if (!rst_n) value <= 16'd0;

else value <= addr[11] ? (-rom_data+16'd32768) : (rom_data+16'd32768); end

assign oSINE_WA VE = value ;

assign oRECT_WA VE = (addr <= duty_ctrl_r) ? 1'b1 : 1'b0;

assign oSAW_WA VE = (addr[11:0]<<4); // 16BIT

assign oTRI_WA VE = addr[11] ? ~(addr[11:0]<<5) : (addr[11:0]<<5); // 16BIT endmodule

信号发生器论文(DOC)

函数信号发生器

函数信号发生器 1.概述 1.1 任务说明 1.设计、调试方波、三角波、正弦波发生器 2.输出波形:方波、三角波、正弦波 3..频率范围三段:10~100Hz,100 Hz~1KHz,1 KHz~10 KHz 4.正弦波U≈3V,三角波U≈5V,方波U≈14V 1.2 信号发生器发展现状 随着信息科技的发展,在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,这就需要能产生高频信号的振荡器。 在电子工程中,常常用到正弦信号,作为信号源的振荡电路,主要的要求是频率准确度高、频率稳定性好、波形失真小和振幅稳定度高等。 在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火,超声波焊接,超声诊断,核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。可见,正弦波振荡电路在各个科学技术部门的应用是十分广泛的。 正弦波振荡电路广泛应用于无线电通讯、广播电视,工业上的高频感应炉、超声波发生器、正弦波信号发生器等。正弦波振荡电路用来产生一定频率和幅值的正弦交流信号。它的频率范围很广,可以从一赫以下到几百兆以上;输出功率可以从几毫瓦到几十千瓦;输出的交流电是从电源的直流电转换而来的。 1.3 信号发生器的分类 信号发生器用途广泛、种类繁多,它分为通用信号发生器和专用信号发生器两大类。专用仪器是为某种专用目的而设计制作的,能够提供特殊的测量信号,如调频立体声信号发生器、电视信号发生器等。通用信号发生器应用面广,灵活性好,可以分为以下几类: 1、按发生器输出信号波形分类 按照输出信号波形的不同,信号发生器大致分为正弦信号发生器、函数信号发生器、脉冲信号发生器和随机信号发生器。应用最广泛的是正弦信号发生器。正弦信号是使用最广泛的测试信号。这是因为产生正弦信号的方法比较简单,而且用正弦信号测量比较方便。函数信号发生器也比较常用,这是因为它不仅可以输出多种波形,而且信号频率范围较宽。脉冲信号发生器主要用来测量脉冲数字电路的工作性能和模拟电路的瞬态响应。随机信号发生器即噪声信号发生器,用来产生实际电路和系统中的模拟噪声信号,借以测量电路的噪声特性。 2、按工作频率分类 按照工作频率的不同,信号发生器分为超低频、低频、视频、高频、甚高频、超高频信号发生器。 3、按调制方式分类 按调制方式的不同,信号发生器分为调幅、调频、调相、脉冲调制等类型。

低频正弦信号发生器

低频正弦信号发生器 摘要 正弦信号发生器是信号中最常见的一种,它能输出一个幅度可调、频率可调的正弦信号在这些信号发生器中,又以低频正弦信号发生器最为常用,在科学研究及生产实践中均有着广泛应用。 目前,常用的信号发生器绝大部分是由模拟电路构成的,电路的组成主要包括选频网络,反馈网络,以及放大部分。所以,从结构上看,正弦信号发生器就是一个没有输入信号的带选频网络的正反馈放大电路。分析RC串并联选频网络的特性,根据正弦波振荡电路的两个条件,即振幅平衡与相位平衡,来选择合适的放大电路指标,来构成一个完整的振荡电路。很多应用中都要用到范围可调的LC 振荡器,它能够在电路输出负载变化时提供近似恒定的频率、几乎无谐波的输出。电路必须提供足够的增益才能使低阻抗的LC 电路起振,并调整振荡的幅度,以提高频率稳定性,减小THD(总谐波失真)。 但是,在一般的情况下,RC选频电路用于输出中频信号,LC选频电路用于输出高频信号,当需要这种模拟信号发生器用于输出低频率信号往往需要的RC值很大(LC 输出高频,更难以满足要求),这样不但参数准确度难以保证,而且体积大和功耗都很大,低频性能难以满足要求。而由数字电路构成的低频信号发生器,多是由一些芯片组成,其低频性能比模拟信号发生器好得多,并且体积较小,输出的信号谐波较少,频率和振幅相对比较稳定。本文借助555定时器和74LS161产生方波经MF10滤波电路产生正弦信号,这种电路运算速度较高,系统集成度强,且实现更加简便。电压的数字显示主要由555定时器构成的放大整形电路,时基电路和控制电路构成,最终由十六进制加法器74LS160,锁存器74LS373,译码器74LS48使数码管显示电压。

低频信号发生器设计开题报告

1 研究的目的及其意义 随着电子测量及其他部门对各类信号发生器的广泛需求及电子技术的迅速发展,促使信号发生器种类增多,性能提高。尤其随着70年代微处理器的出现,更促使信号发生器向着自动化、智能化方向发展。现在,信号发生器带有微处理器,因而具备了自校、自检、自动故障诊断和自动波形形成和修正等功能,可以和控制计算机及其他测量仪器一起方便的构成自动测试系统。当前信号发生器总的趋势是向着宽频率覆盖、低功耗、高频率、精度、多功能、自动化和智能化方向发展。在科学研究、工程教育及生产实践中,如工业过程控制、教学实验、机械振动试验、动态分析、材料试验、生物医学等领域,常常需要用到低频信号发生器。而在我们日常生活中,以及一些科学研究中,锯齿波和正弦波、矩形波信号是常用的基本测试信号。譬如在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波产生器作为时基电路。信号发生器作为一种通用的电子仪器,在生产、科研、测控、通讯等领域都得到了广泛的应用。但市面上能看到的仪器在频率精度、带宽、波形种类及程控方面都已不能满足许多方面实际应用的需求。加之各类功能的半导体集成芯片的快速生产,都使我们研制一种低功耗、宽频带,能产生多种波形并具有程控等低频的信号发生器成为可能。 便携式和智能化越来越成为仪器的基本要求,对传统仪器的数字化,智能化,集成化也就明显得尤为重要。平时常用信号源产生正弦波,方波,三角波等常见波形作为待测系统的输入,测试系统的性能。单在某些场合,我们需要特殊波形对系统进行测试,这是传统的模拟信号发生器和数字信号发生器很难胜任的。利用单片机,设计合适的人机交互界面,使用户能够通过手动的设定,设置所需波形。该设计课题的研究和制作全面说明对低频信号发生系统要有一个全面的了解、对低频信号的发生原理要理解掌握,以及低频信号发生器工作流程:波形的设定,D/A 转换,显示和各模块的连接通信等各个部分要熟练联接调试,能够正确的了解常规芯片的使用方法、掌握简单信号发生器应用系统软硬件的设计方法,进一步锻炼了我们在信号处理方面的实际工作能力。 2 国内外研究现状 在 70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信

音频测试-低频信号发生器-使用方法

低频信号发生器的操作方法 第一步骤:低频信号发生器的连接 连接电源线 用220V AC 线把低频信号发生器连上市电。如电源插座旁有控制开关,还须把开关打开。(如上图2) 连接信号线 将输出线插入到低频信号发生器的信号输出(OUTPUT )接口,并顺时针扭动半圈(如下图3)。图 1 图 2 将开关打开

第二步骤:信号电压幅度调节 上述步骤完成后,接下来需要开机预热和调节输出信号的幅度。 1) 开机(POWER ) 按下电源键开机,开机后电源指示灯会亮。电源按钮一般为红色。 图 3 图 4 连接输出线 电源按钮 电源指示灯

波形选择(WAVE FORM ) 控制低频信号发生器的输出波形。此按钮未按下去时为正弦波,按下去后为矩形波。中文意思为波形。在音频测试中应选择正弦波。(如上图6) 振幅调节(AMPLITUDE ) 此旋钮用来对信号幅度进行微调。顺时针为调大(MAX ),逆顺针为调小(MIN )。如下图图 6 图 5 波形选择 按钮 衰减度选择 -20dB 档 振幅微 调旋钮 图 7 交流电压 20V 档 信号频率 为50Hz

第四步骤:信号频率调节 当调好低频信号发生器的信号电压时,我们还要调节信号发生器的信号频率。 1) 频率调节(FREQUENCY ) 频率调节旋钮上有刻度盘,刻度盘上的数值从10~100,我们调节时把刻度盘上的数值对准正上方的黑色标志,这个数值就是输出信号的基数值。Frequency 中文为频率的意思。(如上图9个琴键按钮,分别为×1、×10、×100、×1K 、×10K ,它们与频率旋钮配合使用。当按下其中的某一个时,表示频率旋钮上指示的基数值×此按钮的倍数。 图 9 图 8 频率旋钮 倍数选择

两路相位可调方波信号发生器(最终版)

目录 1、设计原理与方法 (2) 1.1、单片机系统概述 (2) 1.2、80C51内部结构与引脚说明 (2) 1.3、设计原理 (4) 2、系统硬件线路设计图 (6) 3、程序框图 (7) 4、资源分配表 (8) 5、源程序 (8) 6、仿真结果 (12) 7、性能分析 (14) 8、总结与心得 (15) 9、参考文献 (16)

1、设计原理与方法 1.1、单片机系统概述 单片机也被称为微控制器(Microcontroller Unit),常用英文字母的缩写MCU表示单片机,它最早是被用在工业控制领域。单片机由芯片内仅有CPU的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CPU集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。INTEL的Z80是最早按照这种思想设计出的处理器,从此以后,单片机和专用处理器的发展便分道扬镳。 单片机比专用处理器更适合应用于嵌入式系统,因此它得到了最多的应用。事实上单片机是世界上数量最多的计算机。现代人类生活中所用的几乎每件电子和机械产品中都会集成有单片机。手机、电话、计算器、家用电器、电子玩具、掌上电脑以及鼠标等电脑配件中都配有1-2部单片机。而个人电脑中也会有为数不少的单片机在工作。汽车上一般配备40多部单片机,复杂的工业控制系统上甚至可能有数百台单片机在同时工作!单片机的数量不仅远超过PC机和其他计算的总和,甚至比人类的数量还要多。单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。相当于一个微型的计算机,和计算机相比,单片机只缺少了I/O设备。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。 1.2、80C51内部结构与引脚说明 8051的CPU包含以下功能部件: (1)8位CPU。 (2)布尔代数处理器,具有位寻址能力。 (3)128B内部RAM数据存储器,21个专用寄存器。 (4)4KB内部掩膜ROM程序存储器。 (5)2个16位可编程定时器/计数器。 (6)32位(4×8位)双向可独立寻址的I/O口。 (7)1个全双工UART(异步串行通信口)。 (8)5个中断源、两级中断优先级的中断控制器。 (9)时钟电路,外接晶振和电容可产生1.2MHz~12 MHz的时钟频率。

低频信号发生器的使用说明

附录一低频信号发生器的使用说明 一.概述 AS1033型低频信号发生器采用了中央处理器控制面板的操作方式,具有良好的人机界面。输出正弦波信号频率从2Hz~2MHz连续可调,输出正弦波信号幅度从0.5mV~5V连续可调,并设有TTL输出方波功能,频率从2Hz~2MHz连续可调,占空比从20%~80%连续可调。 面板显示清晰明了,操作简单方便,输出频率调节可采用频率段调节(轻触开关粗调)和数码开关调节(段内细调)二种,其中数码开关调节又分快调和慢调两种,五位数码管直接显示频率,输出幅度调节采用轻触粗调(20dB、40dB、60dB)和电位器细调(20dB)以内,三位数码管直接显示输出电压有效值或衰减电平。 中央处理器控制整机各部分,并采用了数/模、模/数转换电路,应用数码开关作为频率调节输入。振荡电路采用压控振荡与稳幅放大相结合,具有良好的稳幅特性。电路中还加入输出保护、TTL输出、方波占空比可调电路等。 二.技术特性 1.频率范围:2Hz~2MHz,共分五个频段 第一频段:2Hz~30Hz 第二频段:30Hz~450Hz 第三频段:450Hz~7kHz 第四频段:7kHz~100kHz 第五频段:100kHz~2MHz 2.正弦波输出特性 (1)输出电压幅度(有效值):0.5mV~5V (2)幅频率特性:≤±0.3dB (3)失真度:2Hz~200kHz≤0.1%,200kHz~2MHz,谐波分量≤-46dB 3.方波输出特性 ⑴最大输出电压(空截,中心电平为0):14Vp-p ⑵占空比(连续可调):20%~80% ⑶逻辑电平输出:TTL电平,上升、下降沿≤25ns 4.输出电抗:600Ω 5.频率显示准确度:1×10-4±1个字 6.正常工作条件 ⑴环境温度:0~40℃ ⑵相对湿度:<90%(40℃) ⑶大气压:86~106kpa ⑷电源电压:220±22V,50±2.5Hz 7.消耗功率:<10W 三.面板及操作说明 1.整机电源开关(POWER) 按下此键,接通电源,同时面板上指示灯亮。 2.频段选择手动按钮

低频正弦信号发生器

任务书 一、毕业设计(论文)题目:低频正弦信号发生器 二、毕业设计(论文)工作规定进行的日期:年月日起至年月日止 三、毕业设计(论文)进行地点: 11栋506 四、任务书的内容: 目的: 任务:低频正弦信号发生器 工作日程安排: 设计(论文)要求: 1、基本要求 (1)实现1Hz-1KHz变化的正弦信号。 (2)通过面板键盘控制输出频率,频率最小步进1Hz。 (3)输出双极性。 (4)用LED数码管实时显示波形的相关参数。 2、发挥部分 (1)不改变硬件设计,将上限频率扩展到10KHz。 (2)不改变硬件设计,扩展实现三角波和方波信号。 (3)可通过PC机上的“虚拟键盘”,实现频率等参数的控制。 (4)实现对幅度的控制。

主要参考文献: 1、周雪模拟电子技术(修订版)西安电子科技大学出版 2、杨志中数字电子技术(第二版)高等教育出版社 3、张澄高频电子电路人民邮电出版社 4、张志良单片机原理与控制技术(第二版)机械工业出版社 5、张大明单片微机控制应用技术西安电子科技大学出版社 学生开始执行任务书日期 200 年月日指导教师签名: 年月日学生送交毕业设计(论文)日期: 200 年月日教研室主任签名: 年月日 学生签名: 年月日

目录 1方案论证.................................................. 错误!未定义书签。 1.1信号发生.......................................................................................... 错误!未定义书签。 1.1.1方案一.......................................................................................... 错误!未定义书签。 1.1.2方案二.......................................................................................... 错误!未定义书签。 1.2模拟频率调制.................................................................................. 错误!未定义书签。 1.2.1方案一.......................................................................................... 错误!未定义书签。 1.2.2方案二.......................................................................................... 错误!未定义书签。2系统模块硬件电路分析. (4) 2.1 CPU控制模块 (4) 2.1.1 CPU选择 (4) 2.1.2简单的小系统控制板介绍 (5) 2.2 16*2字符型带背光液晶显示模块 (8) 2.3 驱动电路的模块............................................................................. 错误!未定义书签。 2.3.1行驱动管74HC4953..................................................................... 错误!未定义书签。 2.3.2译码器.......................................................................................... 错误!未定义书签。 2.3.3列驱动.......................................................................................... 错误!未定义书签。 2.3.4总线驱动器.................................................................................. 错误!未定义书签。3本系统LED显示屏信号的了解................................ 错误!未定义书签。 3.1 CLK时钟信号.................................................................................. 错误!未定义书签。 3.2 STB锁存信号.................................................................................. 错误!未定义书签。 3.3 EN使能信号.................................................................................... 错误!未定义书签。 3.4数据信号.......................................................................................... 错误!未定义书签。 3.5 ABCD行信号.................................................................................... 错误!未定义书签。4电路与程序设计............................................ 错误!未定义书签。 4.1硬件电路的设计.............................................................................. 错误!未定义书签。 4.1.1系统总体框图(图7)............................................................... 错误!未定义书签。 4.2程序设计思路框图(图8)........................................................... 错误!未定义书签。5调试过程 (13) 6 设计总结 (14) 附件1 电路图 (15) 附件1.1主控板:AT89S52单片机原理图(图9) (15) 附件1.2主控板:AT89S52单片机PCB图(图10) (15) 附件1.3点阵显示屏原理图................................................................. 错误!未定义书签。 附件1.4 4x4键盘原理图(图12) (15) 附件1.5 4x4键盘PCB图(图13) (17) 附件2 源程序............................................... 错误!未定义书签。 附件2.1主程序.......................................... 错误!未定义书签。 附件2.2点阵显示程序.................................... 错误!未定义书签。 附件2.3按钮扫描程序.................................... 错误!未定义书签。

信号发生器实验报告(波形发生器实验报告)

信号发生器 一、实验目的 1、掌握集成运算放大器的使用方法,加深对集成运算放大器工作原理的理解。 2、掌握用运算放大器构成波形发生器的设计方法。 3、掌握波形发生器电路调试和制作方法 。 二、设计任务 设计并制作一个波形发生电路,可以同时输出正弦、方波、三角波三路波形信号。 三、具体要求 (1)可以同时输出正弦、方波、三角波三路波形信号,波形人眼观察无失真。 (2)利用一个按钮,可以切换输出波形信号。。 (3)频率为1-2KHz 连续可调,波形幅度不作要求。 (4)可以自行设计并采用除集成运放外的其他设计方案 (5)正弦波发生器要求频率连续可调,方波输出要有限幅环节,积分电路要保证电路不出现积分饱和失真。 四、设计思路 基本功能:首先采用RC 桥式正弦波振荡器产生正弦波,然后通过整形电路(比较器)将正弦波变换成方波,通过幅值控制和功率放大电路后由积分电路将方波变成三角波,最后通过切换开关可以同时输出三种信号。 五、具体电路设计方案 Ⅰ、RC 桥式正弦波振荡器 图1 图2 电路的振荡频率为:RC f π21 0= 将电阻12k ,62k 及电容100n ,22n ,4.4n 分别代入得频率调节范围为:24.7Hz~127.6Hz ,116.7Hz~603.2Hz ,583.7Hz~3015Hz 。因为低档的最高频率高于高档的最低频率,所以符合实验中频率连续可调的要求。 如左图1所示,正弦波振荡器采用RC 桥式振荡器产生频率可调的正弦信号。J 1a 、J 1b 、J 2a 、J 2b 为频率粗调,通过J 1 J 2 切换三组电容,改变频率倍率。R P1采用双联线性电位器50k ,便于频率细调,可获得所需要的输出频率。R P2 采用200k 的电位器,调整R P2可改变电路A f 大小,使得电路满足自激振荡条件,另外也可改变正弦波失真度,同时使正弦波趋于稳定。下图2为起振波形。

基于单片机的低频信号发生器设计

龙源期刊网 https://www.wendangku.net/doc/3c13211755.html, 基于单片机的低频信号发生器设计 作者:任小青王晓娟田芳 来源:《现代电子技术》2014年第16期 摘要:主要介绍以AT89C51单片机为核心部件的低频信号发生器的设计方法及工作原理。系统采用单片机扩展外部存储器和DAC接口技术,简化了仪器硬件设计。通过波形选择电路读取波形信号经离散化处理之后的波代码,并通过D/ A 转换,还原成所需要的波形。通过改变存储器输出波代码的速度来调节输出信号的频率,改变放大器的放大倍数来调节输出信号的幅值。此外还讨论了波形离散化处理方法及数据采样点数与存储容量的关系,并给出了 系统结构图和软件框图。 关键词:低频信号;数据离散化;幅值;典型信号 中图分类号: TN710?34 文献标识码: A 文章编号: 1004?373X(2014)16?0014?04 Design on low?frequency signal generator based on SCM REN Xiao?qing1, WANG Xiao?juan1, TAN Fang2 (1. School of Mechanical Engineering, Qinghai University, Xining 810016, China; 2. Modern Education Technology Center, Qinghai University, Xining 810016, China) Abstract: The design approach and working principle of a low?frequency signal generator based on AT89C51 are introduced. The hardware design was simplified by using external memory extended with SCM and DAC interface technology. The wave code after discretization processing of waveform signal is read out though a waveform selection circuit, and reverted to the needed waveform by the D/A converter. The output signal frequency is adjusted by changing the wave code output speed of the memory. The amplitude is adjusted by changing the magnification of the amplifier. The waveform discretization processing method, and the relation between data sampling number and storage capacity are discussed. The system structure chart and software flow chart are given. Keywords: low?frequency signal; data discretization; amplitude; typical signal 0 引言 在工业测量控制系统的开发过程中,常需要采用信号发生器为控制系统提供输入信号来 模拟实际输入,并根据输出的频率响应特性来对系统进行调校。该系统不但能提供多种波形信号,而且信号的频率和幅值的大小也很容易控制。用它来模拟多种工况下的真实输入信号, 以达到降低开发成本、提高项目开发效率的目的。本文介绍了以AT89C51单片机为控制核心

双相信号发生器

题目:双相信号发生器(A) 摘要: 本设计是一个基于FPGA的∑-Δ D/A转换器原理的双相信号发生器,通过STM32控制信号发生器及后级模拟电路。该发生器可以在较大的频率范围内输出正弦波,方波等多种波形,信号的相位差通过FPGA程序可以自由调节,其分辨率可达12bit,幅值通过高精度的数字电位器可以随意设置,这使得输出信号可保持在规定的分辨率之上,信号的失真度低于设定值,同时该信号发生器还可以液晶显示信号的频率、幅值及相位差等信息,通过按键实现对整个系统的控制。本系统信号发生部分采用基于FPGA的数字技术实现,通过后级模拟电路对信号进行相应处理,使得该系统具有抗干扰能力强,精度高,可靠性高等优点。 关键词:FPGA、STM32、双相信号发生器、Σ-Δ Abstract: This design is a double that number based on FPGA STM32 control signal generator, through the generator and rear analog circuits. The generator can be greater frequency range, the output pulse waveform of sine signals, the phase difference through FPGA program can free adjustment, the resolution of 12bit, amplitude through precision digital potentiometer can set, this makes the output signal can be kept in the resolution of the distortion degree above, below the setting signal, the signal generator can also LCD signal amplitude and frequency, phase, through the key information such as the realization of the control of the whole system. This system based on FPGA part adopts signal of digital technology, through the simulation of signal processing circuits, makes the corresponding system has strong anti-jamming capability, and high reliability etc. key word: FPGA, STM32, double that number generators,Sigma-Delta

交流信号发生器.

学号:0120911360214 能力拓展训练 题目交流信号发生器设计 学院自动化学院 专业自动化 班级0902 姓名何润 指导教师孙晓明 2012 年06 月24 日

能力拓展训练任务书 学生姓名:何润专业班级:自动化0902班 指导教师:孙晓明工作单位:自动化学院 题目: 交流信号发生器设计 初始条件:计算机、Max+plusⅡ、EDA实验箱(或单片机,PLC) 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 控制产生1-99Hz(精度0.1Hz)的正弦交流信号,通过DAC 0832转换后输出。通过按键操作,可对输出信号的峰值与频率进行控制。任务安排: (1)设计任务及要求分析;方案比较及认证说明。 (2)系统原理阐述,写出设计方案结构图。 (3)软件设计课题需要说明:软件思想,流程图,源程序及程序注释。 (4)调试记录及结果分析、总结。 (5)参考资料5篇以上,附录:程序清单。 时间安排: D1:安排设计任务;收集资料;方案选择;D2:程序设计;D3-D4:实验室内调试程序并演示;D5-D7:撰写报告;D8-D9:交设计报告。 主要参考资料: [1] 谭会生,张昌凡.EDA 技术及应用.西安:西安电子科技大学出版社.2004 [2] 孙晓明.EDA实验指导书.武汉:武汉理工大学教材中心,2007.1 指导教师签名:孙晓明2012 年 6 月24 日系主任(或责任教师)签名:年月日

摘要 信号发生器是一种常用的信号源,广泛应用于科学研究、生产实践和教学实验等领域。特别是通信系统的科研实验中,常常需要用到多种不同频率和相位的信号,如正弦波、三角波、方波和锯齿波等,因此多功能信号发生器应用十分广泛。在数字化时代的今天,经典的有模拟电路组成的信号发生器已经渐渐远离了人,取而代之的事电路简洁、功能多样、功耗低的数字电路。在以后的时间里,将会有越来越多的数字化的信号发生器运用在各种科学技术领域和工程实践中,给人们的日常生活带来更多的便利。 本文设计低频信号发生器,以AT89C51 单片机为核心,通过键盘输入控制信号类型和频率的的选择,采用DA 转换芯片输出相应的波形。我们采用C 语言进行编程,可实现正弦波的产生,且波形的频率和幅值可调。经测试该设计方案线路优化,结构紧凑,性能优越,满足设计要求。 关键字:单片机,DA 转换,信号发生器

低频信号发生器电路图制作以及调试

低频信号发生器电路图制作以及调试 1 画原理图 本设计中要求用Protel软件完成原理图以及PCB板。我用的是Protel2004 版本。电路原理图的设计是印制电路板设计中的第一步,也是非常重要的一步。电路原理图设计得好坏将直接影响到后面的工作。首先,原理图的正确性是最基本的要求,因为在一个错误的基础上所进行的工作是没有意义的;其次,原理图应该布局合理,这样不仅可以尽量避免出错,也便于读图、便于查找和纠正错误;最后,在满足正确性和布局合理的前提下应力求原理图的美观。 电路原理图的设计过程可分为以下几个步骤: 1、设置电路图纸参数及相关信息根据电路图的复杂程度设置图纸的格式、尺寸、方向等参数以及与设计有关的信息,为以后的设计工作建立一个合适的工作平面。 2、装入所需要的元件库将所需的元件库装入设计系统中,以便从中查找和选定所需的元器件。 3、设置元件将选定的元件放置到已建立好的工作平面上,并对元件在工作平面上的位置进行调整,对元件的序号、封装形式、显示状态等进行定义和设置,以便为下一步的布线工作打好基础。 4、电路图布线利用Protel 2004所提供的各种工具、命令进行画图工作,将事先放置好的元器件用具有电气意义的导线、网络标号等连接起来,布线结束后,一张完整的电路原理图基本完成。 5、调整、检查和修改利用Prote2004所提供的各种工具对前面所绘制的原理图做进一步的调整和修改。 6、补充完善对原理图做一些相应的说明、标注和修饰,增加可读性和可观性。 2 硬件单元电路调试 对于本波形法发生器,其硬件电路的调试最重要的地方在于板子制作的前期一

定要保证其质量,尽量减少因虚焊等因不细心造成的故障。将元件焊接完毕后,为了方便调试,采用分块调试的方法。电路由多个模块组成,D/A 转换 电路、显示电路、电源电路、按键电路、复位电路。因为这次在焊点的时候比较细心,所以焊得很结实,检验的时候,未发现有虚焊的问题。 5.2.1 焊电路 设计好电路图,开始焊电路板,刚开始觉得线路很简单,所以电路排版没花心思,真正开始焊的时候才发现相当麻烦,导线用去很多,看起来有点乱。由于元气件的管脚图并不跟原理图中一样,所以必须先查阅资料弄明白各个器件的封装,像LED先用万用表检测是共阴还是共阳,每个管脚对应哪一段也可以检测。还有四脚的按键也要测出哪两脚是相通的等等。 5.2.2 硬件电路的总体检查 电路板焊完之后,应该首先认真细致地检查一遍,确认无误后方能通电。通电前检查,主要检查以下内容: 第一,根据硬件电气原理图和装配图仔细检查线路的正确性,并检查元器件安装是否正确。尤其注意的是芯片、二极管和开关管的极性、电容器的耐压和极性、电阻的阻值和功率是否与设计图纸相符,重点检查系统总线间或总线与其它信号线间是否存在短路;第二,检查焊接点是否牢固,特别要仔细检查有无漏焊和错焊;对于靠得很近的相邻焊点,要注意检查金属毛刺和是否短路,必要时可用欧姆表进行测量;第三,在不加电的情况下,插上所有元器件,为联机调试作准备。确保电源和地无故障之后,再通电,然后检查各电源+5V、+12V 和-12V电压数值的正确性。排除可能出现的故障后,再进行各单元电路调试。 5.2.3 单元电路调试 1 、单片机最小系统调试 按照前面设计的单片机最小系统和电源,焊接并插上相应的元器件,连好线,检查正确无误后,接上电源,用示波器测试单片机的时钟波形。时钟波形和频率正确,进行下一步检查。 切断电源,空出单片机AT89S51的位置,并在此位置上插入仿真器的40芯

两路相位可调方波信号发生器

摘要 本次课设完成了两路相位可调方波信号发生器。利用单片机最小系统及三个独立按键来实现这一题目。通过编程对三个独立按键分别赋予不同的功能,使三个按键分别实现频率的增大,减小,以及相位的变化。 关键词:两路,相位可调,方波,最小系统,独立按键.

目录 1.设计原理与方法 (1) 1.1单片机系统概述 (1) 1.2 80C51内部结构 (1) 1.3设计原理说明 (3) 1.3.1设计方案 (3) 1.3.2参数计算 (4) 2.程序框图 (5) 3.资源分配表 (6) 4.源程序 (6) 5.系统硬件线路设计图 (10) 6.仿真结果 (10) 7.实物调试结果 (12) 8.性能分析 (13) 9.总结与心得 (14) 10.参考文献 (15)

1.设计原理与方法 1.1 单片机系统概述 单片机全称单片微型计算机,又称MCU,是将计算机的基本部分微型化,使之集成在一块芯片上的微机。片内含有CPU,ROM,RAM,并行I/O,串行I/O,定时/计数器,A/D,D/A,中断控制,系统时钟及系统总线等,它本身就是一个嵌入式系统,同时也是其他嵌入式系统的核心。它最早是被用在工业控制领域。单片机由芯片内仅有CPU的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CPU集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。INTEL的Z80是最早按照这种思想设计出的处理器,从此以后,单片机和专用处理器的发展便不同步。 为适应不同的应用需求,一般一个系列的单片机具有多种衍生产品,每种衍生产品的处理器内核都是一样的,只是存储器,接口的配置及封装不同,这样可以使单片机最大限度的与应用需求相匹配,功能不多不少,从而减少功耗和成本。单片机是世界上数量最多的计算机。现代人类生活中所用的几乎每件电子和机械产品中都会集成有单片机。手机、电话、计算器、家用电器、电子玩具、掌上电脑以及鼠标等电脑配件中都配有1-2部单片机。而个人电脑中也会有为数不少的单片机在工作。汽车上一般配备40多部单片机,单片机的数量不仅远超过PC机和其他计算的总和。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。 1.2 80C51内部结构 8051的CPU包含以下功能部件: (1)8位CPU。 (2)布尔代数处理器,具有位寻址能力。 (3)128B内部RAM数据存储器,21个专用寄存器。 (4)4KB内部掩膜ROM程序存储器。 (5)2个16位可编程定时器/计数器。 (6)32位(4×8位)双向可独立寻址的I/O口。 (7)1个全双工UART(异步串行通信口)。 (8)5个中断源、两级中断优先级的中断控制器。 (9)时钟电路,外接晶振和电容可产生1.2MHz~12 MHz的时钟频率。

自制低频信号发生器

电子报/2010年/1月/10日/第015版 智能电子 自制低频信号发生器 广东王聪 电子爱好者在日常电子电路设计中,经常要用到各种波形的信号源,本文介绍一款用单片机设计的低频信号发生器。 该低频信号发生器可以产生锯齿波、三角波、正弦波、方波等常用波形,并可以方便地改变各种波形的周期或频率,具有线路简单、结构紧凑、成本低、性能优越、操作方便等优点。 一、系统硬件设计 1.电路组成及芯片选择 本设计的总体框图如图1所示。选用AT89C51单片机作控制器;D/A转换器选用8位D/A 转换芯片DAC0832它与微处理器完全兼容,价格低廉、接口简单、转换控制容易;输出运算放大器选用NE5532P芯片,它的DC和AC特性良好,其特点是低噪声、高输出驱动、高增益、低失真、高转换率,具有输入保护二极管和输出保护电路。 2.电路工作原理 电路如图2所示。单片机的P1口接按键S1~S4和四只发光二极管,S1~S4分别控制产生锯齿波、三角波、正弦波和矩形波(含方波),而四只发光二极管则作为不同波形的指示灯;单片机的外部中断口P3.2和P3.3分别接按键S5、S6,用于调整各信号的频率;D/A转换器的数据输入端与单片机的P0口相连,将单片机产生的各种波形的数字信号送人DAC0832进行数模转换,DAC0832的输入寄存器选择信号CS、输入寄存器写选通信号WR1受单片机P2口控制,DAC0832的DAC寄存器写选通信号WR2和数据传送信号XFER直接接地,单片机与DAC0832形成“单缓冲”方式连接;经DAC0832数模转换的模拟信号送人运算放大器NE5532P进行二级放大输出,得到最终的输出信号波形。 二、系统软件设计 系统程序流程如图3所示。程序运行时,依次判断S1~S4按键是否按下,当S1按下时输出锯齿波,当按键S2按下时输出三角波,当按键S3按下时输出正弦波,当按键S4按下时输出方波。每个波形输出后都要查询按键S6、S7,看是否进行频率调整。 1.锯齿波设计产生锯齿波的原理,是逐步向单片机P0口加1,同时通过DAC0832进行实时的数模转换输出,直到P0的值溢出为零,这样周而复始,从而输出锯齿波信号。锯齿波程序流程如图4所示。 2.三角波设计 产生三角波的原理,是逐步向单片机P0口加1,到P0的值为FFH时,又逐步递减,直到P0的值为零,同时通过DAC0832进行实时的数模转换输出,这样周而复始,从而输出三角波信号。三角波设计程序如图5所示。 3.正弦波设计 产生正弦波的原理,是将一个周期的正弦波均匀地取255个值,用这些对应的幅度值构成一个查值表,单片机通过查表,将这些值逐一通过P0口输出到DAC0832进行实时的数模转换输出,这样周而复始,从而输出正弦波信号。正弦波程序流程如图6所示。 4.方波设计 经过实物制作调试,单片机输出的方波信号通过DAC0832进行了数模转换后,再送到NE5532P进行信号放大输出的效果不是很理想,故将单片机产生的方波信号直接送到NE5532P 进行信号放大输出。当进入正弦波产生程序后,先将P2.0口置高电平,进行延时,再将P2.0口

信号发生器 设计

实用信号源的设计与制作 院(系)名称:传媒工程系 专业名称:电子信息工程 学生姓名:李今鸣 指导教师:张占红 二零一零年九月

摘要 实用信号源,能产生某些特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可从几个微赫到几十兆赫。 正弦波的产生采用RC桥式正弦波振荡电路。由集成运放,电阻,电容,二极管组成。集成运算放大器构成的RC桥式振荡电路,具有性能稳定,电路简单等优点。 方波的产生采用带正反馈的电压比较器,即滞回比较器,它在滞回比较器的基础上,增加了一条RC充,放电负反馈支路构成。电路中的双向稳压管和电阻R 构成稳压电路,限制输出(正向和负向)的幅度。 3 三角波的产生由运放及电阻组成的同向滞回比较器和运放及电阻电容组成的反向有源积分器构成。提高了线性度,降低了失真度。 三种波形的频率范围可从几个微赫到几十兆赫,因此实用信号源在电路实验和设备检测中具有十分广泛的用途。

一系统方案 1.1 实用信号源的基本原理 设计方案: 1. 先设计振荡电路产生正弦波,然后通过整形电路将正弦波变成方波,再由积分电路将方波变成三角波。 2. 用单片集成芯片IC8038实现,但这种方案要求幅度和频率都可调,可采用数字电位器加程控放大器实现。 3. 用单片机和A/D转换器实现,编写相应的程序即可实现位器加程控放大器实现。 在本论文中采取第一种方式来设计实用信号源,这种方式即可以得到多种不同的波形,而且具有频率宽,功能齐全,外围电路简单,调整方便等优点。 1.1.2 实用信号源的组成框图 图1-1 信号源组成框图 由正弦波发生电路产生正弦波作为输入,经过比较器后,就会输出方波,然后将方波作为输入,在经过积分器后,就会输出三角波。 1.2实用信号源的实现电路 1.2.1电路起振分析 正弦波产生电路框图:

相关文档