文档库 最新最全的文档下载
当前位置:文档库 › 拔河游戏机课程设计实验报告

拔河游戏机课程设计实验报告

拔河游戏机课程设计实验报告
拔河游戏机课程设计实验报告

课程设计说明书

课程名称:数字电子技术课程设计

题目:拔河游戏机

班级:

姓名:

学号:

同组人:

设计任务书

一、设计题目

拔河游戏机

二、主要内容及要求

1.设计一个模拟拔河游戏比赛的逻辑电路。

2.电路使用15 个发光二极管,开机后只有在拔河绳子中间的发光二极亮。

3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就

向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,

该方就获胜,此后双方的按钮都应无作用,状态保持,只有当裁判按动复位后,在拔河

绳子中间的发光二极管重新亮。

5.用七段数码管显示双方的获胜盘数。

三、进度安排

1.认真思考和理解所选题目的有关要求,大致知道要求做什么。

2.根据实验的设计要求,到图书馆或上网查找相关的资料,了解拔河游戏机的工作原理。

3.学习数字电路中触发器、计数器、译码显示器等单元电路的设计及综合应用,掌握逻辑电

路的设计与测试方法,等。

4.综合相关的资料,设计实验方案。

5.根据所设计方案,用仿真软件进行电路仿真。

6.根据要求撰写实验报告。

设计过程

一、设计任务分析

本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。

可以设想用可预置的加/ 减计数器作主要器件,用计数器的输出状态通过译码器控制电平指

示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。

二、总体方案设计

2. 1 设计思路:

(1)本课题所设计的拔河游戏机由15 个电平指示灯排列成一行,开机之后只有中间一个电平

指示灯亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,

谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这

一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。

. 即实现电路(2) 当一局比赛结束后 ,由点亮该终点灯的信号使电路封锁加减脉冲信号的作用

自锁 ,使加家减脉冲无效。同时,使计分电路自动加分。

(3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲的输入端,其进

入方向则由参赛双方的按键信号决定。

2. 2 电路原理图:

2. 3 电路工作原理:

可逆计数器74LS193 原始状态输出 4 位二进制数0000 ,经译码器输出使中间的一只电

平指示灯Y0 点亮。当按动A、 B 两个按键时,分别产生两个脉冲信号,经整形后分别加到

可逆计数器上,可逆计数器输出的代码经译码器译码后驱动电平指示灯点亮并产生位移,当亮点移到任何一方终端后,由于控制电路的作用,使这一状态被锁定,而对输入脉冲不起作用。如按动复位键,亮点又回到中点位置,比赛又可重新开始。

将双方终端指示灯的正端分别经两个与非门后接到 2 个十进制计数器74ls160 的使能端EN,当任一方取胜,该方终端指示灯点亮,产生 1 个下降沿使其对应的计数器计数。这样,计数器的输出即显示了胜者取胜的盘数。

2. 4 各单元电路的设计:

1.编码电路的设计:

由双时钟二进制同步可逆计数器74LS193构成,它有 2 个输入端, 4 个输出端,能进行

加/减计数。通过编码器来控制电平指示灯的显示,加计数时向右移动,进行减计数时,向

相反方向移动。电路图如下:

2.译码电路:

由 2 个 38 译码器组成 4 线- 16 线译码器。译码器的输出 Y0~ Y15 中选 15 个接电平指示灯,电平指示灯的负端接地,而正端接译码器;这样,当输出为高电平时电平指示灯点亮。比赛准备,译码器输入为 0000 , Y0 输出为 1,中心处指示灯首先点亮,当编码器进行加法计数时,亮点向右移,进行减法计数时,亮点向左移。电路图如下图所示:

3.胜负显示:

由计数器 74ls160 和译码显示器构成。将双方终端指示灯正极经与非门输出后分别接到

2 个 74ls160 计数器的 EN 端, 74ls160 的两组 4 位 BCD码分别接到实验箱中的两组译码显示

器的 8、 4、 2、1 插孔上。当一方取胜时,该方终端指示灯发亮,产生一个上升沿,使相应

的计数器进行加一计数,于是就得到了双方取胜次数的显示,若 1 位数不够,则进行 2 位数的级连。电路图如下

4.复位控制:

74LS193 的清零端 CR 接一个电平开关,作为一个开关控制,进行多次比赛而需要的复位操作,使亮点返回中心点。

74ls160 的清零端 RD 也接一个电平开关,作为胜负显示器的复位来控制胜负计数器使其重新计数。其中 74ls160 功能表下表所示

5.仿真结果:

三、元器件的使用:

3. 1 元器件的选用:

74ls138 3 线— 8 线译码器2片

74ls160同步十进制计数器4片

74LS193 同步二进制可逆计数器 1 片

74LS04六非门 1 片

电阻:若干

电容若干

发光二极管15 个

LED显示器 4 个

3个开关

四、总结

4. 1 实验评价:

本课题所设计的拔河游戏机由 15 个电平指示灯组成“电子绳”,由可逆计数器 74LS193 构成编码电路,由译码器 74ls138 构成译码器电路,由计数器 74ls160 作为胜负的显示。但不难想象,

73LS193 可以由两片的 74LS192 组成 16 进制计数器所代替,这一点是可以改进的。还有电路的主体部分还可以用移位寄存器所代替,由于时间紧张,留待以后思考。

4. 2 心得体会:

这次的课程设计虽然短暂,但让我们学到了很多,那是课本上无法学到的。通过这次课程设计,我明白了理论与实践相结合的重要性,理论固然重要,但是实践才是检验真理的唯

一标准,使我们加强了动手、思考和解决问题的能力,了解了一般电子电路的设计方法,培

养了初步独立设计的能力。在设计过程中,通过查阅书籍和文献资料,进一步熟悉了常用电

子器件的类型和特性,并掌握合理选用的原则,进一步掌握了电子仪器的正确使用方法。还记得在设计的时候曾经遇到过各种各样的问题,比如;在设计计数器时计数器并没有像我们

想象的那样计数,经过了很多次的更改和尝试,我们才逐渐一步一步的接近最终结果,比如;触发器的运用还不怎么熟悉,对 73LS193 计数器的逻辑功能还是不怎么了解,对于自己基础知识还是不够扎实,实践经验还是比较缺乏,理论联系实际的能力还待急需提高。做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好

的理解和运用,现在有些温故知新的感觉。

通过这次的课设,我觉得自己在数电的理论知识上,又成长了许多,也对芯片本身能与

书本上的真正结合了起来,实验的成功让我收获了喜悦。同时,也让我明白了我们每一个人

永远不能满足于现有的成就,我们应该在课堂中与实验中学会总结,学会自我认知,向着更高的目标努力,经过这次的课程设计,一方面加深了我对课本理论的认识,另一方面也提高了

我的实验,操作能力,让我受益匪浅。

参考文献:

1、《电子技术基础实验与课程设计》高吉祥主编电子工业出版社

2、《电子线路实验》(第二版 ) 方建中主编浙江大学出版社

3、《数字电路设计与制作》彭军译主编科学出版社

4、《电子技术基础(数字部分)》第四版康华光主编高等教育出版社

5、《电子技术课程设计指导》彭芥华主编高等教育出版社

6网络

电子拔河游戏机 EDA 实验

安徽财经大学 电子拔河比赛游戏机的设计 姓名:陈辉、胡安宁、郭真真、朱晓庆 学号:2010830044、2010830027、2010830018、2010830043 学院:管理科学与工程学院 专业:电子信息工程 指导老师:于帅珍 设计时间:2013年5月

目录 一、设计任务以及要求--------------------------------------- 4 二、总体框图-------------------------------------- --------------4 三、选择器件---------------------------------------- ------------5 四、功能模块-------------------------------- --------------------10 五、总体设计-------------------------------------- --------------16

一、?设计任务以及要求 1. 设计一个模拟拔河游戏机比赛的逻辑电路。 2. 电路使用15个电平指示灯排成一排,开机后只有中间一个点亮,以此作 为拔河的中心线。 3. 比赛双方各持一个按键,迅速不断的按动产生脉冲,谁按得快,亮点向谁 方向移动。每按一次,亮点移动一次。 4.移动到任何一方终端指示灯点亮,这一方得胜,此时双方按键均无作用,输出保持,只有经裁判按动复位后,恢复到中心线。 5.显示器显示胜者的盘数。 二、总体框图 1. 设计方案: (1)本课题所设计的拔河游戏机由15电平指示灯排列成一行,开机 之后只有中间一个电平指示灯亮,以此作为拔河的中心线。可逆计数器原始状态为0000,经译码后输出中间的电平指示灯亮。游戏双方各持一个按键,迅速地、不断地按动产生脉冲信号,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加减脉冲无效。同时,使计分电路自动加分。当两人比赛结束后,裁判可以让计分显示器清零。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加 减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 2. 电路原理图:

拔河游戏机的设计与制作报告

《数字电子技术》课程设计报告拔河游戏机设计与制作 设计要求: 拔河游戏机需用9个发光二极管排列成一行,开机后只有中间一个发亮, 以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产 生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到 任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保 持,只有经复位后才使亮点恢复到中心线。 设计人:夏凯强学 号: 7 专业:计算机班 级: 09<1> 班 成绩:评阅人: 安徽科技学院理学院 拔河游戏机的设计与制作 拔河游戏机需用9个发光二极管排列成一行,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 一、设计要求 (一)设计指标 (1)初始9个发光二极管排列成一行,开机后只有中间一个点亮; (2)游戏双方各持有一个按键,按键按动一次,亮点有可能移动一次; (3)亮点移动的方向与按键快的一方一致; (4)当任一方终端二极管点亮时,这一方就得胜,再按键电路输出不变;

(5)按复位后,回到初始状态 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 1.拔河游戏机的构成 本次拔河游戏机的主要设计思路是让电平指示灯由中点向速度快的一方延伸,而阻止向速度慢的一方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向右方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点时就把电路锁定,此时双方按键均无作用,只有按了复位按键双方才能继续下一局的比赛,计数器就记录双方的获胜的次数,数码管显示胜者赢的盘数。 图1 拔河游戏机总体结构图 2.整形电路的设计 CC40193是可逆计数器,控制加减的CP脉冲分别加至和CP D和CP U,此时当电路要 求进行加法计数时,减法输入端CP D 必须接高电平;进行减法计数时,加法输入端CP U 也必须接高电平,若直接由A、B键产生的脉冲加到CP U 和CP D ,那么就有很多时机在 进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。加一整形电路,使从A、B按键出来的脉冲经整形后变为一个占空比很大的脉冲,这样就减少了进行某一计数时另一计数输入为低电平的可能性,从而使每按一次键都有可能进行有效的计数。整形电路由与门CC4081和与非门CC4011构成。 3.编码电路 编码器有二个输入端,四个输出端,要进行加 / 减计数,因此选用CC40193双时钟十进制同步加 / 减计数器来完成。其电路及连接方式如下: 4.整形电路 由与门CC4081和与非门CC4011构成整形电路。起初,由两片CC4011构成一RS 触发器,它有两个输入端R、S和两个输出端Q、Q。当R=1、S=0时,则Q=0,Q=1,触发器置1。当R=0、S=1时,则Q=1,Q=0,触发器置0,当触发器的两个输入端加入不同逻辑电平时,它的两个输出端Q和Q有两种互补的稳定状态。S=0,R=1使触发器置1,或称置位。因置位的决定条件是S=0,故称S 端为置1端。R=0,S=1时,使触发器置0,或称复位。同理,称R端为置0端或复位端。经RS触发器 后再经与门和非门,以达到整形的目的。 因CC40193是可逆计数器,控制加减的CP脉冲分别加至5脚和4脚,此时当电路要求进行加法计数时,减法输入端CPD必须接高电平;进行减法计数时,加法输入端CPU也必须接高电平,若直接由A、B键产生的脉冲加到5脚或4脚,就有很多时机在进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。加一整形电路,使原先加的脉冲

数字电子技术基础课程设计——拔河机计数器

数字电子技术基础课程设计——拔河机计数器

第1章引言 现今科学技术日新月异,信息是一个高度发展的产业,而数字技术是信息的基础,数字技术是目前发展最快的领域之一,数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视、雷达,通信等各个领域。开发数字电路来实现更多的功能,是我们学习数字技术的职责。现在人们越来越感觉到科技带来的实惠方便和娱乐。所以我们更应把所学知识应用到生活当中去,使它给我们添加更多的欢乐和方便。拔河比赛游戏机就是一个结构比较简单但综合性和趣味性的试验,就是设计一个由数字电路构成和显示的游戏机,甲乙双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次,移到任一方终端指示灯点亮,这一方就获胜。

第2章总体设计方案 2.1设计思路 (1)比赛开始时,由裁判下达命令后,甲乙双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。 (2) 拔河游戏机由15个电平指示灯排列成一行,裁判下达“比赛开始”的命令后,只有中间一个电平指示灯亮,以此作为拔河的中心线,甲乙双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动加分。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 2.2 电路设计原理 拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。最后,显示器显示胜者的盘数。 方案一 采用编码电路、整形电路、译码电路、控制电路、胜负显示各原理和电路图第三章中。 方案二 本课题,可以用两片74LS192代替74LS193,先将两片74LS192连接成100进制的可逆,然后将其改成十六进制的计数器。用一全加器将两片74LS192的输出信号八位转化成四位,再接给CC4514的输入端。 控制电路也可由异或门74LS86和与非门74LS00构成。将双方终端指示灯的正接至异或门的2个输入端,当获胜一方为“1”,而另一方则为“0”,异或门输出

拔河游戏机设计

目 录 1 引言 (1) 1.1FPGA简介 (1) 1.2VHDL简介 (1) 2 拔河游戏机的设计 (3) 2.1课程设计目的 (3) 2.2系统设计要求 (3) 2.3设计思路 (3) 2.4拔河游戏机工作流程图 (4) 3 拔河游戏机的实现 (5) 3.1拔河游戏机的顶层原理图 (5) 3.2拔河游戏机的总体设计过程 (5) 3.3系统各功能模块的实现 (6) 3.3.1 模块jishu的设计 (6) 3.3.2 模块bijiao的设计 (6) 3.3.3 模块xiaodou的设计 (7) 3.3.4 模块clkfen的设计 (8) 3.3.5 模块shuma的设计 (8) 4 拔河游戏机仿真及分析 (9) 4.1顶层实体的仿真波形 (9) 4.2比较模块的仿真波形 (9) 4.3消抖模块的仿真波形 (10) 4.4管脚锁定 (10) 4.5编译下载 (11) 4.6试验箱验证 (11) 5 总结 (12) 参考文献 (14) 附录 (15) JISHU.VHD (15) BIJIAO.VHD (15) XIAODOU1.VHD (16) CLKFEN.VHD (17) SHUMA.VHD (18)

1 引言 1.1 FPGA简介 以硬件描述语言(Verilog或VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记忆元件例如触发器(Flip-flop)或者其他更加完整的记忆块。 系统设计师可以根据需要通过可编辑的连接把FPGA内部的逻辑块连接起来,就好像一个电路试验板被放在了一个芯片里。一个出厂后的成品FPGA的逻辑块和连接可以按照设计者而改变,所以FPGA可以完成所需要的逻辑功能。 FPGA一般来说比ASIC(专用集成电路)的速度要慢,无法完成复杂的设计,但是功耗较低。但是他们也有很多的优点比如可以快速成品,可以被修改来改正程序中的错误和更便宜的造价。厂商也可能会提供便宜的但是编辑能力差的FPGA。因为这些芯片有比较差的可编辑能力,所以这些设计的开发是在普通的FPGA上完成的,然后将设计转移到一个类似于ASIC的芯片上。另外一种方法是用CPLD(Complex Programmable Logic Device,复杂可编程逻辑器件)。 1.2 VHDL简介 硬件描述语言已经有几十年的发展历史,并且在系统的仿真、验证和设计、综合等方面得到成功的应用。目前常用的硬件描述语言有VHDL、 Verilog HDL 、ABEL等[2][3][4]。VHDL 则起源于20世纪70年代末和80年代初,美国国防部提出的VHSIC计划,目标是为下一代集成电路的生产、实践阶段性的工艺极限和完成10万门级以上的电路设计而建立一种新的描述方法[5]。VHDL的英文全称为Very-High-Speed Integrated Circuit Hardware Description Language,是IEEE标准化的硬件描述语言,并且已经成为系统描述的国际公认标准,得到众多EDA公司的支持。 VHDL具有很多的优点使它能够被大多数人认可,被广泛应用在逻辑电路的设计方面,

电子拔河游戏机设计

电子技术课程设计说明书 课程名称:电子技术课程设计题目:电子拔河游戏机设计 课程设计任务书 一、设计题目 拔河游戏机 二、主要内容及要求 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极亮。 3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当裁判按动复位后,在拔河绳子中间的发光 二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 三、进度安排 1.认真思考和理解所选题目的有关要求,大致知道要求做什么。 2.根据实验的设计要求,到图书馆或上网查找相关的资料,了解拔河游戏 机的工作原理。 3.学习数字电路中触发器、计数器、译码显示器等单元电路的设计及综合 应用,掌握逻辑电路的设计与测试方法,等。 4.综合相关的资料,设计实验方案。 5.根据所设计方案,用仿真软件进行电路仿真。 6.根据要求撰写实验报告。 四、总评成绩

指导教师 学生签名 目录 一.设计任务分析 (1) 二.拔河游戏机的总体方案设计 (1) 2.1设计思路 (1) 2.2电路原理图 (1) 2.3电路工作原理 (3) 2.4各单元电路的设计 (3) 三.元器件的使用 (6) 四.总结 (7) 4.1实验评价 (7) 4.2心得体会 (8) 参考文献 (8) 附录 (8)

一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1)本课题所设计的拔河游戏机由15个电平指示灯排列成一行,开 机之后只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方 各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方 向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一 方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使 亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动

电子电工毕业设计论文拔河游戏机

数字电路课程设计报告设计课题:拔河游戏机 专业班级:20111461班光信专业 学生姓名: 学号: 学生姓名: 学号: 指导老师: 设计时间:2014年6月8日

一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1)本课题所设计的拔河游戏机由9个电平指示灯排列成一行,开机 之后只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方各 持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向 移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方 就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮 点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动加分。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加 减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 2.2 电路原理图: 图一,图二分别为拔河游戏机的电路框图、整机逻辑图。

2.3 电路工作原理: 可逆计数器CC40193原始状态输出4位二进制数0000,经译码器输出 点亮。当按动A、B两个按键时,分别产生两使中间的一只电平指示灯Q 个脉冲信号,经整形后分别加到可逆计数器上,可逆计数器输出的代码经译码器译码后驱动电平指示灯点亮并产生位移,当亮点移到任何一方终端后,由于控制电路的作用,使这一状态被锁定,而对输入脉冲不起作用。如按动复位键,亮点又回到中点位置,比赛又可重新开始。

拔河游戏机设计报告

数字逻辑综合性实验设计报告 课程名称数字逻辑实验 题目名称电子拔河游戏机 班级20120615 学号2012061518 学生姓名孙晓行 同组班级20120615 同组学号2012061517 同组姓名孙静 指导教师武俊鹏、孟昭林、刘书勇、赵国冬 页脚内容- 0 -

2014年06 月 页脚内容- 1 -

摘要 电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。由一排LED发光二极管表示拔河的“电子绳”。游戏双方各拥有一个比赛时使用的单脉冲按钮,参与者按动一次按钮就产生一个脉冲,谁按的频率快产生的脉冲就多,由发光的LED灯的左右偏移模拟拔河过程,LED灯的偏移方向和位移由比赛双方所给出的脉冲数实时决定,该功能需要用计数电路通过加减计数来实现。当移动到某方的最后一个LED灯时,则该方获胜,连续比赛多局以定胜负。 此次设计的电路,主要分为四部分:控制电路部分;计数电路部分;电子绳电路部分;计分器电路部分。 其中控制电路部分主要由2个JK触发器和一个锁存器构成;计数电路主要由2个74LS192组成;电子绳电路由3个74LS138译码器,17个74LS04和17盏灯组成;计分器电路由两个74LS161计数器构成。 关键词:“拔河”;开关;脉冲;LED灯;左右偏移;计分电路 页脚内容- 2 -

目录 1 需求分析 ......................................................................................................................................... - 6 - 1.1 基本功能要求 ....................................................................................................................... - 6 - 1.2 创新拓展功能....................................................................................................................... - 6 - 1.3 设计原理 .............................................................................................................................. - 7 - 2 系统设计......................................................................................................................................... - 8 - 2.1 系统逻辑结构设计 ............................................................................................................... - 8 - 页脚内容- 3 -

课程设计——拔河游戏机

课程设计任务书 题目拔河游戏控制电路 专业学号姓名 主要内容、基本要求、主要参考资料等: 主要内容 * 1.阅读相关科技文献。 2.学习protel软件的使用。 3.学会整理和总结设计文档报告。 4.学习如何查找器件手册及相关参数。 技术要求 1.< 2.要求电路使用9个发光二极管显示拔河过程,开机后只有中间一个发光二极管发光,即为拔河 中心; 3.游戏双方各持一个按钮,按钮每按下一次,亮点向本方移动一次,拔河过程中有且只有一个发 光二极管发光; 4.亮点移动到任意一段的终端二极管时,此方获胜,并且此时双方按钮均无作用,输出状态保持, 复位后亮点回到中心点。 主要参考资料 1.何小艇,电子系统设计,浙江大学出版社,2001年6月; ( 2.姚福安,电子电路设计与实践,山东科学技术出版社,2001年10月; 3.王澄非,电路与数字逻辑设计实践,东南大学出版社,1999年10月;

4.李银华,电子线路设计指导,北京航空航天大学出版社,2005年6月;5.康华光,电子技术基础,高教出版社,2003。 } 完成期限: 2011年6月28日 指导教师签章: 专业负责人签章: 2011年6月27日

摘要 》 本课题的主要任务是让拔河游戏控制电路的电平指示灯由中点向己方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向延伸。当延伸到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛。 此电路可分为脉冲发生器电路和计数/译码器电路两大部分。脉冲发生器电路部分采用两个与非门组成的基本RS触发器构成的去抖电路以及有与门、与非门构成的整形电路,可以将按钮A、B产生的脉冲整形成占空比较高的信号。计数器电路部分以74LS/HC193为主体,译码器采用由集成芯片74HC138扩展的4线-16线译码器。芯片74LS/HC193根据UP端和DOWN端的状态来判断进行加计数还是减计数,然后将计数结果输出到由74HC138构成的译码器,译码器将结果输出到发光二极管。由于74HC138输出端为低电平,所以当双方终端二极管对应的输出端有一个为低时即表示游戏结束,双方按键失效。此功能实现可将74LS193的输出置数至输入端,使译码器的输出保持,将双方的端二极管对应的输出进行与运算,如果有一个为低则输出为低,再接低电平有效的置数端,实现游戏结束时按键无作用。 关键字:去抖电路 74LS138 反馈置数 &

数电电子拔河游戏机设计

一、实验名称:拔河游戏机设计 二、实验目的: 为了理论结合实际的电子基础知识,验证,巩固和消化电子技术基本知识,综合应用数字电子技术解决生产第一线的实际问题,提高基本的专业动手能力,进一步培养分析问题和解决问题的能力,以后更好的为社会服务。 三、实验任务: 设计主要容及要求: 1、设计一个模拟拔河游戏比赛的逻辑电路。 2、电路使用9个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 3、比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4、亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。 5、用七段数码管显示双方的获胜盘数。 四、实验设计思路 基本要求如下: (1)比赛开始时,由裁判(K)下达命令后,甲(A)乙(B)双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。 (2)“电子绳”到少由9个LED管构成,裁判下达“开始比赛”的命令后,位于“电子绳”中点的LED点亮。甲乙双方通过按键输入信号,使发亮的LED管向自己一方移动,并阻止其向对方延伸。当从中点至自己一方终点的LED管全部点亮时,表示比赛结束。这时,电路自锁,保持当前状态不变,除非由裁判使电路复位。 五、总体设计方案: 1、电路设计原理 拔河游戏机需用15个(或9个)发光二极管排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任

电子拔河游戏机

1.设计目的 加强学生对数字电子技术专业知识的理解和掌握,训练并提高其在理论计算、电路设计、资料文献查阅、运用相关标准与规范、电路仿真等方面的能力;为毕业设计(论文)奠定良好的基础。 2.设计任务 2.1设计指标 此次设计的电子拔河游戏机是模拟拔河比赛的电子游戏机,比赛开始的时候,只有当裁判下达指令时双方才可以输入信号,电路具有自己锁定的功能,在未下达命令前,电路不能开始运行。比赛开始后,双方通过控制按键进行比赛,使亮色的LED灯管移向自己的那一方。哪一方的速度按键越快,那么哪一方就会占优势,直到发亮的LED灯管移到自己的终点那一端,即为获胜方,此时电路自动锁定,双方按键无效,显示管显示比赛的结果数字。若循环比赛,则依次循环以上过程。 2.2设计要求 (1)拔河游戏机用9个电平指示灯排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线。(2)游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。 (3)移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 (4)最后,显示器显示胜者的盘数。 3.电子拔河游戏机的组成和工作原理 3.1电子拔河游戏机的构成 本次设计中共包含六个分支,分别是:整形电路,编码电路,译码电路,控制电路,胜负显示电路以及复位电路构成。 3.2原理分析 比赛两人通过开关产生脉冲,经过整形电路后接74LS192同步十进制可逆计数器,经74LS192同步二进制可逆计数器对信号进行加减后接译码电路,译码电路译码后显示到电平显示器上。再把胜负电路接到两头最边的电平显示灯上,胜负电路收到胜负信号后显示胜负次数。当比赛结束后由复位控制对显示胜负装置和电平显示灯回复到初始状态。这样就达到了设计目的和要求。 (1)整形电路:由与门74LS08和与非门74LS00构成。CP脉冲加到5脚和4脚控制加和减的,当加法计数时,减法输入端CPD接高电平;减法计数时,加法输入端CPU接高电平,但如果Q、W键产生的脉冲直接加上去,很多情况下在进行计数输入时另一计数输入端为低电平,计数器不能计数,双方按键均失去作

课程设计报告之电子拔河游戏机

题目电子拔河游戏机设计系(部) 信息工程系 专业电子信息工程 班级电信091 学生姓名刘文刚 学号090819325 6 月13 日至 6 月18 日共 1 周 指导教师 系主任签字 2011年6月18日

成绩评定表

目录 一、设计任务以及要求--------------------------------------- 4 二、总体框图----------------------------------------------------4 三、选择器件----------------------------------------------------5 四、功能模块----------------------------------------------------10 五、总体设计----------------------------------------------------16 六、实验感言----------------------------------------------------17

一、设计任务以及要求 1. 设计一个模拟拔河游戏机比赛的逻辑电路。 2. 电路使用15个电平指示灯排成一排,开机后只有中间一个点亮,以此作 为拔河的中心线。 3. 比赛双方各持一个按键,迅速不断的按动产生脉冲,谁按得快,亮点向 谁方向移动。每按一次,亮点移动一次。 4. 移动到任何一方终端指示灯点亮,这一方得胜,此时双方按键均无作用, 输出保持,只有经裁判按动复位后,恢复到中心线。 5. 显示器显示胜者的盘数。 二、总体框图 1. 设计方案: (1)本课题所设计的拔河游戏机由15电平指示灯排列成一行,开机之 后只有中间一个电平指示灯亮,以此作为拔河的中心线。可逆计数器原始状态为0000,经译码后输出中间的电平指示灯亮。游戏双方各持一个按键,迅速地、不断地按动产生脉冲信号,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加减脉冲无效。同时,使计分电路自动加分。当两人比赛结束后,裁判可以让计分显示器清零。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加 减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 2. 电路原理图: 图一为拔河游戏机的电路框图

eda数字逻辑拔河游戏机设计

湖南涉外经济学院 课程设计报告 课程名称:EDA技术与应用 报告题目:拔河游戏机设计 学生姓名: 所在学院: 专业班级: 学生学号: 指导教师: 2016年12月19日 课程设计任务书

摘要 电子拔河游戏机是一种能容纳甲乙双方参赛游戏电路。由一排发光二极管表示拔河的“电子绳”。由甲乙双方通过按纽开关使发光二极管向一方的终点延伸,当延伸到某方的最后一个发光二极管时,则该方获胜,连续比赛多局以定胜负。 关键词:拔河;脉冲;数显;二极管,时钟

目录 一、摘要………………………………………………………………………… 4 二、基本思想 (6) 三、设计框图 (6) 四、设计步骤和调试过程……………………………………………………… 7 1:总体设计电路 (7) 2.模块设计和相应模块程序 (7) 3.仿真与仿真结果分析 (11) 4、实验调试结果 (11) 五、学生情况统计表 (12) 六、结论与心得 (13) 七、参考文献..............................................................................13八、教师评语与设计成绩.. (14)

一、基本思想 二十世纪后半期,通过EDA技术对大规模可编程逻辑器件编程,产品得到了大力的发展,这种方法不仅成本低、周期短、可靠性高,而且可随时在系统中修改其逻辑功能。这里采用VHDL语言来设计拔河游戏机,突出了其作为硬件描述,本设计的基本原理就是采用VHDL语言设计出拔河游戏机的原理程序,写入程序最后模拟仿真即可。拔河游戏机是一种能容纳甲乙双方参赛游戏电路。由一排发光二极管表示拔河的“电子绳”。本课题所设计的拔河游戏机由9个发光二极管排列成一行,开机之后只有中间一个发光二极管亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点就向哪方移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲信号的作用.即实现电路自锁,使加减脉冲无效。同时,使计分电路自动加分。控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。由设计内容可知,首先需要一个十进制的计数器,用于对双方按钮的次数计数,并通过译码器显示在数码管上。设计要求用50MHz的频率,而设计用到的是1K Hz的频率,所以要设

拔河游戏数字电路设计

一、实验目的: 1.学习数字电路中基本D触发器、计数、译码显示等单元电路的综合应用。 2.熟悉拔河游戏机的工作原理。 3.复习数字电路中D触发器、4线—16线译码器、计数器、译码显示器等部 分内容。 4.分析拔河游戏机组成、各部分功能及工作原理。查出各芯片引脚排列及功 能。 二、实验器件: 1个CC4514 4线—16线译码器 1个74LS191 双向加减计数器 2个74LS160 十进制加法计数器 3个与门、2个或门、2个非门 2个D触发器 三、设计任务与要求: (1)设计思路 拔河游戏机共有15个发光二级管,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮点向谁方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 用七段数码管显示胜者取胜的盘数。 (2)任务与要求: 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 3.比赛双方各持一个按钮,快速不断地按动按钮产生脉冲,谁按得快,发光的二极管 4.就向谁的方向移动,每按一次,发光二极管移动一位。 5.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都 应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。 6.用七段数码管显示双方的获胜盘数。 7.根据设计要求合理选择方案。

四、总体设计方案: (1)设计思路 1.设计的主要任务是控制“电子绳”发亮的LED管由中点向速度快的一方移动,而阻止向另一方移动。用可预制的加/减计数器作主要器件,用计数器的输出状态通过译码器控制LED发亮。当向计数器输入“加脉冲” 时,使其作加运算而发亮的LED向增大的一方移动,相反,当输入“减脉冲”时,发亮的LED向相反的方向移动。 2.当一局比赛结束,即发亮的LED移动某一方的终点时,由点亮该终点灯的信号使电路封锁加/减脉冲信号的作用,既实现电路的自锁,使加/减脉冲无效。同时,使电路自动加分。 3.控制电路部分应能够控制由振荡器产生的脉冲信号进入计数器的加/减脉冲输入端,其进入方向由参赛双方输入的按键信号决定。 (2)电路设计原理 拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。最后,显示器显示胜者的盘数。 图1电子拔河游戏机原理框图

Verilog项目设计报拔河游戏机

河海大学物联网工程学院Verilog HDL项目设计报告 题目拔河游戏机 专业电子科学与技术 学号1562910123、1562910125 授课班号 6292758 学生徐子豪、诗欣 指导教师华迪、齐本胜

摘要和关键字 为了掌握数字系统的设计方法,掌握硬件描述语言——Verilog HDL,掌握模块化设计方法,掌握开发软件的使用方法。选择 基于FPGA开发板设计的拔河游戏机,使用两个按键进行比赛,利用按键按下的快慢作为模拟的双方选手拔河过程,led灯的变化决定绳子的位置,led灯到了一边以后比赛终止,整个过程的难点在于,需要过滤信号,使按键产生的信号稳定,所以程序中需要有按键消抖模块,同时需标识符来控制比赛的开始与结束。关键词:verilog 拔河比赛消抖模块标识符 In order to master the design method of digital system, we must master the hardware description language -- Verilog HDL, grasp the modular design method and master the way of developing software. Choice Tug of war game FPGA development board based on the design, the use of two button game, using key press speed as both

players tug of war of simulation, change led lamp decide the position of the rope, LED lights to the side after the end game, the difficulty lies in the whole process, need to filter the signal, the stable signal generated by the keys so, the procedure requires a key debounce module, also need to control the game's identifier and end start. Key words: Verilog tug shake-off module identifier. 一、系统设计 1 . 实验要求 设计拔河游戏电路,用按键与LED表示输入与输出。 (1)初始时,16个LED中间的两个点亮,然后游戏双方不停按动按键,点亮的两个LED向按动按键慢的一方移动;(2)每按动一下按键,LED向对方移动一格; (3)只要LED移动到头,游戏结束; (4)工作时钟100Hz即可; (5)完成全部流程:设计规文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

电子拔河游戏机设计全文

数字逻辑与数字系统课程 设计报告 设计题目:拔河游戏机 专业班级:计算机科学与技术08-2班 学生:程杨杨20082567 同组学生:郑恒2008 指导教师:

拔河游戏机 摘要:本实验使我们进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力 本课程设计的内容就是采用74LS00、74LS193、4线-16线译码器CC4514、74LS02、CC 4518设计的一个电子拔河游戏机,该游戏机具有整形、 计数、译码、控制、复位等功能,设计原理简单易懂,所设计的游戏机的游戏规 则和真的拔河比赛规则相类似。 目录 一.设计任务与要求 (1) 1.设计任务 (1) 2.设计要求 (1) 二.总体设计方案 (1) 1.设计思路 (1) 2.电路设计原理 (1) 3.两个方案的对比 (6) 4. 实验方案论证 (6) 5. 实验目的 (6) 6. 实验器件 (7) 三.单元电路设计与参数计算 (7) 1. 整形电路 (7) 2. 计数电路 (7) 3. 译码电路 (8) 4. 胜负显示电路 (9) 四.总原理图及元器件清单 (11) 1. 总原理图 (11) 2. 说明 (14) 3. 元器件清单 (14) 五.结论与心得 (15)

六.参考文献 (16) 一.设计任务与要求 设计思路 给定实验设备和主要元器件按照电路设计的各部分组成一个完整的拔河游戏机。 1.拔河游戏机共有15个发光二级管,开机后只有中间一个发亮,以此作为拔河的 中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮 点向谁方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方 就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 2.用七段数码管显示胜者取胜的盘数。 任务与要求: 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 6.根据设计要求合理选择方案。 二、总体设计方案: 2.1、设计思路 1.该设计的主要任务是控制“电子绳”发亮的LED管由中点向速度快的一方移动,而阻止向另一方移动。用可预制的加/减计数器作主要器件,用计数器的输出状态通过译码器控制LED发亮。当向计数器输入“加脉冲”时,使其作加运算而发亮的LED向增大的一方移动,相反,当输入“减脉冲”时,发亮的LED向相反的方向移动。 2.当一局比赛结束,即发亮的LED移动某一方的终点时,由点亮该终点灯的信号使电路封锁加/减脉冲信号的作用,既实现电路的自锁,使加/减脉冲无效。同时,使电路自动加分。 3.控制电路部分应能够控制由振荡器产生的脉冲信号进入计数器的加/减脉冲输入端,其进入方向由参赛双方输入的按键信号决定。 2.2、电路设计原理 拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这

拔河游戏机课程设计报告

西南民族大学 电气信息工程学院 数字电路课程设计报告 设计课题:拔河游戏机 专业班级:电子信息工程 学号: 小组成员: 指导教师: 设计时间:2013.04-2013.05

电信学院数字电子技术课程设计 任务书 设计名称:拔河游戏机 一、课程设计目的 1.掌握四数计数器CC4518,CC4514的原理及使用,显示电路原理。 2.熟悉与门、与非门、异或门的使用。 3.掌握实验电路的工作原理。 4.培养独立分析故障及排除故障的能力。 二、设计任务与要求 (1)设计一个能进行拔河游戏的电路。 (2)电路使用9个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。(3)游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。 (4)亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 (5)用数码管显示获胜者的盘数。

摘要与关键字 摘要:电子拔河游戏机电路可分为脉冲发生器电路和计数/译码器电路两大部分。脉冲发生器电路部分采用两个与非门组成的基本RS触发器,经整形后产生脉冲信号。计数/译码器电路部分以CC40193为主体,译码器采用集成芯片CC4514。计数器根据脉冲输入发生变化,CC4514的输出随之发生相应的变化,当脉冲信号移动至Q7或Q9时,将CC4514的输出端锁存。经调试,整机功能效果等各项性能指标均达到本实验要求。 关键词: 脉冲发生器计数/译码器CC4514 脉冲信号 1总方案设计 1.1设计思路 1、拔河游戏机需要使用9个发光的二极管排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断按动产生脉冲,谁按得快亮点向谁的方向移动,每按一次亮点移动一次。移动到任意一方的终端二极管点亮,这一方就取得了胜利。此时双方的按键均不起作用,输

相关文档
相关文档 最新文档