文档库 最新最全的文档下载
当前位置:文档库 › 课程设计报告

课程设计报告

课程设计报告
课程设计报告

2010—2011学年第二学期《数字电子技术课程设计》报告

专业班级 xxxxxx

姓名 xxxxxx

学号

设计题目洗衣机的简易控制电路设计

目录

一、设计任务及要求-------------------------------------------------------------------------------3

(一)具体要求--------------------------------------------------------------------------------3 (二)输入输出资源说明--------------------------------------------------------------------3

二、设计原理与方案--------------------------------------------------------------------------------5

(一)顶层设计方案---------------------------------------------------------------------------5 (二)分频器设计方案------------------------------------------------------------------------5 (三)控制器设计方案------------------------------------------------------------------------5 (四)洗涤倒计时计数器设计方案---------------------------------------------------------6 (五)60秒计数器设计方案----------------------------------------------------------------6 (六)显示器设计方案------------------------------------------------------------------------6

三、电路设计、仿真与实现-----------------------------------------------------------------------7

(一)分频器的设计实现---------------------------------------------------------------------7 (二)控制器设计实现------------------------------------------------------------------------8 (三)洗涤倒计时计数器的设计实现------------------------------------------------------13 (四)60秒计数器设计方案------------------------------------------------------------------17 (五)显示器设计实现------------------------------------------------------------------------18 (六)总体设计实现---------------------------------------------------------------------------21 (七)Fit Design 结果-------------------------------------------------------------------------22

四、分析与讨论--------------------------------------------------------------------------------------23

五、参考文献-----------------------------------------------------------------------------------------24

一、设计任务及要求:

洗衣机是家庭常用电器,一般可以有多种工作模式可供选择。在此要求设计具有两种工作模式的简易洗衣机控制电路,具有复位、模式设置、启动、暂停功能,并能显示洗衣机的工作状态(如洗涤时间倒计时,电动机的正反转、暂停)。

(一)具体要求:

1、设置为复位按钮S0,按钮状态S0=0时,对系统状态进行复位,计数器清零。S0=1时,进入模式选择。

2、设置模式选择按钮S1。按钮状态S1=0时,执行洗衣模式一,控制洗衣机的电机按照图1的规律循环运转;S1=1执行洗衣模式二,控制洗衣机的电机按照图2规律循环运转。

图1 洗衣模式一

图2 洗衣模式二

3、设置启动按钮S2。洗衣模式设定后,按钮状态S2=1时,设定洗衣时间为10分钟,按钮状态S2=0时,设定洗衣时间为5分钟。在洗衣过程中分别用3个LED灯来显示电动机的正转、翻转,间歇等状态。

4、设置暂停按钮S3。当按钮状态S3=0时,洗衣暂停,计数器状态、显示均保持,并

点亮1个LED 灯显示暂停状态。S3=1时正常运转。

(二)输入输出资源说明:

1、输入信号:四个控制按钮S0、S1、S

2、S3(按钮按下时S =0,松开时S =1)。 2、外部输入脉冲信号时钟源clk (50MHz ),应设计一分频器得到5Hz 信号供计数器使用。

3、输出3组显示译码信号,并在FPGA 内部经过译码后获得7段LED 显示码,并通过扫描方式在三个发光LED 显示数码管上显示当前洗衣时间的倒计时(分钟)、每个洗衣循环的60s 倒计时的十位和个位。

4、输出4个高低电平信号,分别接到外部的4个LED 灯指示电机的正转、间歇、反转和系统的暂停。(输出高电平时,对应的LED 灯亮)

其具体框图如下:(此图只是大概结构,设计时可根据自己的思路稍微改动电路图)

图3 洗衣机控制器结构框图

根据如上说明,本设计的主要任务和设计要求是:

1、 按照现代数字系统的Top-Down 模块化设计方法,提出简易洗衣机控制电路设计系

统的整体设计方案,并进行正确的功能划分,分别提出并实现控制器、计数器、输出译码等模块化子系统的设计方案。

S 0

S 2 S 3

七段LED 数

码管

S 1

2、在Quartus的EDA设计环境中,采用原理图和V erilog语言混合输入的方法,完成

系统的顶层设计、各子系统的模块化设计。分别完成各个基于V erilog语言实现的

子模块(包括分频器、计数器、主控制器、扫描显示译码四部分)的逻辑功能仿

真,并对顶层设计进行功能仿真。

3、在2步的基础上,采用Altera公司的CPLD器件EP1C12F324C8对顶层设计进行

适配(Fit Design),生成下载文件。

4、采用DownLoad软件将设计的JED文件烧录到试验板的芯片上,实际测试。

二、设计原理与方案:

(一)、顶层设计方案:

本系统总共分分频器,控制器,洗涤倒计时器,,60S倒计时器和显示器五大部分。其中提结果如下图

图一总体电路结果图即顶层结果图

其中,分频器为其他模块提供合适的脉冲信号,控制器接收外部控制信号并且产生相对应的控制其他模块的信号,洗涤倒计时器和60S倒计器负责洗衣时间得倒计时并且根据倒计时的时间控制电机(四个LED灯)的运转以及将倒计时结束的信号返回给控制器,显示器将倒计时的现态时间显示。

(二)、分频器设计方案:

分频器一输入两输出,输入为50MHZ的原脉冲信号,输出clkout1为1kHZ脉冲信

号(用于扫描数码管),输出clkout2为1KHZ脉冲信号(给计数器)(给控制器及显示器)。(三)、控制器设计方案:

控制器的输入信号及作用:有10个输入s0,s1,s2,s3, t0,t1,t2,t3, clk, s60 .其中s0,s1,s2,s3为四个按键的输入; t0,t1,t2,t3为洗涤倒计时计数器的反馈输入; clk为频率信号输入; s60为60秒倒计时的输出信号.

控制器的输出信号及作用:有12个输出信号: d0,d1,d2,d3,t10,t11,t12,t13,t20,t21,t22,t23.其中d0,d1,d2,d3是s0,s1,s2,s3赋值. t10,t11,t12,t13为模式一的输出控制信号; t20,t21,t22,t23为模式二的输出控制信号.

(四)、洗涤倒计时计数器设计方案:

洗涤倒计时计时器的输入信号及作用有:clk, d0,d1,d3,t10,t11,t12,t13,t20,t21,t22,t23。其中clk为频率信号输入;d0,d1,d2,d3为s0,s1,s2,s3所赋值的信号输入;t10,t11,t12为控制器输出的控制洗衣模式一得输入信号;t20,t21,t22,t23为控制器输出的控制洗衣模式二得输入信号;

洗涤倒计时计数器的输出信号及作用:t0,t1,t2,t3,LED1,LED2,LED3,LED4。其中t0,t1,t2,t3为反馈给控制器的四个信号,已达到让控制器对在不同的洗衣时间里做出不同的控制;LED1,LED2,LED3,LED4分别为洗衣时正传,间歇,反转,暂停的指示输出信号,用来控制四个指示灯。

(五)、60秒计数器设计方案:

60秒计数器的输入信号及作用:clk, d0,d1,d2,d3。其中clk为频率输入信号;d0,d1,d2,d3为s0,s1,s2,s3所赋值的输入信号。

60秒计数器的输出信号及作用:只有一个输出信号s60,s60是一个周期为一分钟的频率信号。

(六)、显示器的设计方案:

将输入的倒计时现态时间以七段码的形式通过数码管输出,数码管的扫描信号del。

三、电路设计、仿真与实现:

(一)、分频器的设计实现:

分频器的源程序:

module fenpinqi(

Clk,

clkout1,//1hz

clkout2//1khz

);

input Clk;

output clkout1;

output clkout2;

reg clkout1;

reg clkout2;

reg [31:0] Cout1;

reg[31:0] Cout2;

reg Clk_En1;

reg Clk_En2;

initial

begin

clkout1<=0;

clkout2<=0;

end

always @(posedge Clk )

begin

Cout1 <= (Cout1== 32'd10) ? 32'd0 : (Cout1 + 32'd1);

Cout2 <= (Cout2== 32'd5) ? 32'd0 : (Cout2 + 32'd1);

Clk_En1 <= (Cout1 == 32'd10) ? 1'd1 : 1'd0;

Clk_En2 <= (Cout2 == 32'd5) ? 1'd1 : 1'd0;

clkout1<=Clk_En1;

clkout2<=Clk_En2;

end

endmodule

分频器的仿真结果:

为了使仿真结果能还好地显示出来,在仿真时把分频器的两个输出信号分别使其输出5MHZ的和10MHZ的频率信号。

(三)、控制器设计实现:

控制器的源程序:

module kongzhiqi ( s0,s1,s2,s3,

t0,t1,t2,t3,

clk,//1khz

s60,

d0,d1,d2,d3,

t10,t11,t12,t13,

t20,t21,t22,t23);

input t0,t1,t2,t3,s0,s1,s2,s3,clk,s60;

output d0,d1,d2,d3,t10,t11,t12,t13,t20,t21,t22,t23;

reg d0,d1,d2,d3;

reg t10,t11,t12,t13,t20,t21,t22,t23;

reg[5:0]i;

initial

begin

i<=0;

end

always@(clk)// zhan ting

begin

if(s0==1)

begin

d0<=s0;

d1<=s1;

d2<=s2;

d3<=s3;

end

if(s0==0)//fu wei

begin

d0<=0;

d1<=0;

d2<=0;

d3<=0;

end

end

always @(posedge s60)

begin

i<=i+1'b1;

if(d2==1&i<=15)

begin

if(d0==1&d1==0&d3==0)

begin

if(t0==0&t1==0&t2==0)

begin

t10<=1;

t11<=0;

t12<=0;

t13<=0;

end

if(t0==1&t1==0&t2==0&t3==0)

begin

t10<=0;

t11<=1;

t12<=0;

t13<=0;

end

if(t0==0&t1==1&t2==0&t3==0)

begin

t10<=0;

t11<=0;

t12<=1;

t13<=0;

end

if(t0==0&t1==0&t2==1&t3==0)

begin

t10<=0;

t11<=0;

t12<=0;

t13<=1;

end

end

if(d0==1&d1==1&d3==0)

begin

if(t0==0&t1==0&t2==0)

begin

t20<=1;

t21<=0;

t22<=0;

t23<=0;

end

if(t0==1&t1==0&t2==0&t3==0)

begin

t20<=0;

t21<=1;

t22<=0;

t23<=0;

end

if(t0==0&t1==1&t2==0&t3==0)

begin

t20<=0;

t21<=0;

t22<=1;

t23<=0;

end

if(t0==0&t1==0&t2==1&t3==0)

begin

t20<=0;

t21<=0;

t22<=0;

t23<=1;

end

end

end

if(d2==0&i<=30)

begin

if(d0==1&d1==0&d3==0)

begin

if(t0==0&t1==0&t2==0)

begin

t10<=1;

t11<=0;

t12<=0;

t13<=0;

end

if(t0==1&t1==0&t2==0&t3==0)

begin

t10<=0;

t11<=1;

t12<=0;

t13<=0;

end

if(t0==0&t1==1&t2==0&t3==0)

begin

t10<=0;

t11<=0;

t12<=1;

t13<=0;

end

if(t0==0&t1==0&t2==1&t3==0)

begin

t10<=0;

t11<=0;

t12<=0;

t13<=1;

end

end

if(d0==1&d1==1&d3==0)

begin

if(t0==0&t1==0&t2==0)

begin

t20<=1;

t21<=0;

t22<=0;

t23<=0;

end

if(t0==1&t1==0&t2==0&t3==0)

begin

t20<=0;

t21<=1;

t22<=0;

t23<=0;

end

if(t0==0&t1==1&t2==0&t3==0)

begin

t20<=0;

t21<=0;

t22<=1;

t23<=0;

end

if(t0==0&t1==0&t2==1&t3==0)

begin

t20<=0;

t21<=0;

t22<=0;

t23<=1;

end

end

end

end //always

endmodule

控制器的仿真结果:

洗衣模式一的仿真结果:

洗衣模式二的仿真结果:

(四)、洗涤倒计时的设计实现:

洗涤倒计时的源程序

module xididaojishi( clk,//1hz

d0,d1,d3,

t10,t11,t12,t13,

t20,t21,t22,t23,

t0,t1,t2,t3,

LED1,LED2,LED3,LED4

);

input clk,d0,d1,d3,t10,t11,t12,t13,t20,t21,t22,t23; output t0,t1,t2,t3,LED1,LED2,LED3,LED4;

reg LED1,LED2,LED3,LED4;

reg[5:0] i;

reg t0,t1,t2,t3;

always@(posedge clk)

begin

if(d0==0)//fu wei

begin

i<=0;

t0<=0;

t1<=0;

t2<=0;

t3<=0;

end

if(d0==1&d3==1)//zhan ting begin

LED1<=0;

LED2<=0;

LED3<=0;

LED4<=1;

end

if(d1==0&d0==1&d3==0) begin

if(t10==1&t11==0)

begin

LED1<=1;

LED2<=0;

LED3<=0;

LED4<=0;

i<=i+1'b1;

if(i==5'd20)

begin

t3<=0;

t0<=1;

i<=0;

end

end

if(t11==1&t12==0)

begin

LED1<=0;

LED2<=1;

LED3<=0;

LED4<=0;

i<=i+1'b1;

if(i==5'd10)

begin

t0<=0;

t1<=1;

i<=0;

end

end

if(t12==1&t13==0)

begin

LED1<=0;

LED2<=0;

LED3<=1;

LED4<=0;

i<=i+1'b1;

begin

t1<=0;

t2<=1;

i<=0;

end

end

if(t13==1&t10==0) begin

LED1<=0;

LED2<=1;

LED3<=0;

LED4<=0;

i<=i+1'b1;

if(i==5'd10)

begin

t2<=0;

t3<=1;

i<=0;

end

end

end

if(d1==1&d0==1&d3==0) begin

if(t20==1&t21==0) begin

LED1<=1;

LED2<=0;

LED3<=0;

LED4<=0;

i<=i+1'b1;

if(i==5'd25)

begin

t3<=0;

t0<=1;

i<=0;

end

end

if(t21==1&t22==0) begin

LED1<=0;

LED2<=1;

LED3<=0;

LED4<=0;

if(i==5'd5)

begin

t0<=0;

t1<=1;

i<=0;

end

end

if(t22==1&t23==0) begin

LED1<=0;

LED2<=0;

LED3<=1;

LED4<=0;

i<=i+1'b1;

if(i==5'd25)

begin

t1<=0;

t2<=1;

i<=0;

end

end

if(t23==1&t20==0) begin

LED1<=0;

LED2<=1;

LED3<=0;

LED4<=0;

i<=i+1'b1;

if(i==5'd5)

begin

t2<=0;

t3<=1;

i<=0;

end

end

end

end//always endmodule

洗涤倒计时的仿真结果:

(四)60秒倒计时计数器的实现

60秒倒计时计数器的源程序:

module s60daojishi (clk, //1hz

d0,d1,d2,d3,

s60

);

input clk,d0,d1,d2,d3;

output s60;

reg s60;

reg[9:0] cout;

always@(posedge clk)//zhan ting

begin

if(d0==0)//fu wei

begin

cout<=0;

end

if(d0==1&d3==0)

begin

cout<=(cout==10'd6)? 0:(cout+1'b1);

s60<=(cout==10'd6)? 1:0;

end

end

endmodule

60秒倒计时计数器的仿真波形:

为了使仿真结果能还好地显示出来,60S倒计时的输出改为输出周期为6S的频率信号。

(五)、显示器设计实现:

显示器的源程序:

module xianshi( clk1,//1khz

clk2,//1hz

clk3,//1 fen zhong

d0,d1,d2,d3,

del,sev

);

input clk1,clk2,clk3,d0,d1,d2,d3; output[2:0]del;

output[6:0]sev;

reg[4:0] fen,miao;

reg[5:0]k1,k2;

reg[1:0]m;

reg[3:0]g1,g2,g3,g4;

reg[6:0]sev,ss;

reg[2:0]del,i;

always@(posedge clk1)

begin

i<=i+'d1;

g1<=k1/10;

g2<=k1%10;

g3<=k2/10;

g4<=k2%10;

case(i)

3'd0:m<=0;

3'd1:m<=1;

3'd2:m<=2;

3'd3:m<=3;

3'd4:m<=0;

3'd5:m<=1;

3'd6:m<=2;

3'd7:m<=3;

endcase

del<=m;

case(del)

'd0:ss<=g3;

'd1:ss<=g4;

'd2:ss<=g1;

'd3:ss<=g2;

endcase

end

always@(ss)

begin

case(ss)

0:sev='b0111111;

1:sev='b0000110;

2:sev='b1011011;

3:sev='b1001111;

4:sev='b1100110;

5:sev='b1101101;

6:sev='b1111101;

7:sev='b0000111;

8:sev='b1111111;

9:sev='b1101111;

endcase

end

always@(posedge clk2) begin

if(d0==0)

begin

miao=0;

end

if(d0==1&&d3==0) begin

miao=miao+1'b1;

k1=32'd60-miao;

if(k1==0)

miao=0;

end//if

end//always

always@(posedge clk3) begin

if(d0==0)

begin

fen=0;

课程设计报告模板)

课程设计报告模板()

————————————————————————————————作者: ————————————————————————————————日期: ?

课程设计(论文)任务书 软件学院软件+电商专业09级(2)班 一、课程设计(论文)题目基本模型机设计与实现 二、课程设计(论文)工作自2011年6月 20 日起至2011年 6月 24日止。 三、课程设计(论文) 地点:计算机组成原理实验室(5#301) 四、课程设计(论文)内容要求: 1.课程设计的目的 通过课程设计的综合训练,在掌握部件单元电路实验的基础上,进一步掌握整机 概念。培养学生实际分析问题、解决问题和动手能力,最终目标是想通过课程设计的形式,帮助学生系统掌握该门课程的主要内容,更好地完成教学任务。 2.课程设计的任务及要求 1)基本要求? (1)课程设计前必须根据课程设计题目认真查阅资料; (2)实验前准备好实验程序及调试时所需的输入数据; (3)实验独立认真完成; (4)对实验结果认真记录,并进行总结和讨论。 2)课程设计论文编写要求 (1)按照书稿的规格撰写打印课设论文 (2)论文包括目录、绪论、正文、小结、参考文献、附录等 (3)正文中要有问题描述、实验原理、设计思路、实验步骤、调试过程与遇到问题的解决方法、总结和讨论等 (4)课设论文装订按学校的统一要求完成 3)课设考核 从以下几方面来考查:

(1)出勤情况和课设态度; (2)设计思路; (3)代码实现; (4)动手调试能力; (5)论文的层次性、条理性、格式的规范性。 4)参考文献 [1]王爱英.计算机组成与结构[M]. 北京:清华大学出版社, 2007. [2] 王爱英. 计算机组成与结构习题详解与实验指导[M]. 北京:清华大学出版社, 2007. 5)课程设计进度安排 内容天数地点 构思及收集资料1图书馆 实验与调试 3 实验室 撰写论文 1 图书馆 6)任务及具体要求 设计实现一个简单的模型机,该模型机包含若干条简单的计算机指令,其中至少包括输入、输出指令,存储器读写指令,寄存器访问指令,运算指令,程序控制指令。学生须根据要求自行设计出这些机器指令对应的微指令代码,并将其存放于控制存储器,并利用机器指令设计一段简单机器指令程序。将实验设备通过串口连接计算机,通过联机软件将机器指令程序和编写的微指令程序存入主存中,并运行此段程序,通过联机软件显示和观察该段程序的运行,验证编写的指令和微指令的执行情况是否符 合设计要求,并对程序运行结果的正、误分析其原因。 学生签名: 亲笔签名 2011年6月20 日 课程设计(论文)评审意见 (1)设计思路:优( )、良()、中( )、一般()、差( ); (2)代码实现:优()、良()、中()、一般()、差();

C语言课程设计报告-游戏2048

东华理工大学C语言课程设计报告 学院:国际教育学院学院专业:电子信息工程 班级:1420606 学号:201420060638 姓名:钟天运

一、课程设计题目:游戏2048 二、课程设计要求: a)使用C语言编写2048这款游戏 b)能够正常运行,拥有游戏界面。 c)能正常进行游戏从开始到结束。 d)用户操作方便 三、设计思路: a)游戏介绍: i.2048是一款简单的数字类游戏,界面是一个 4*4的方形格子。每个格子里可以为空或者有 一个2^n的数值。 ii.用户可以输入4种指令,分别是:上下左右,游戏会根据用户的指定的方向,将格子中 的数值向对应方向进行移动,直至移动到最边 上的格子或者有其他数值占用,如果碰到等大 数值,将会进行合并。此外,成功移动后,会 在一个空格子随机生成一个2或者4 iii.游戏目标是合成2048这个数值或者更大的数值。 b)实现思路: i.可以使用二维数组来保存4*4格子中的数值 ii.指令,可以通过输入字符函数,读取用户

在键盘上的方向键,进行判断执行对应的代 码。 iii.游戏界面,可以使用简单的特殊制表符,来实现,并通过清屏函数来进行反复同位置打 印界面。 iv.需要判断游戏结束的函数,以及记录游戏分数和步骤的变量 v.当游戏结束时,能够询问用户是否重新开始。 vi.随机生成一个新数,可以调用随机函数,使用时间做种子。 c)实现难点: i.打印游戏界面,要实现灵活能根据棋盘数组里 面的数据灵活打印。 ii.执行操作时,数值的移动和合并。

四、流程图

五、C语言源代码 // 游戏2048.c #include "windows.h" #include "time.h" #include "stdio.h" #include "conio.h" #include "string.h" //宏定义常量方向键值 //const int LEFT = 75, UP = 72, RIGHT = 77, DOWN = 80; #define LEFT 75 #define UP 72 #define RIGHT 77 #define DOWN 80 const char error_str[] = "您上次输入的指令无法识别,请重新输入。"; struct board { int place[4][4]; long int stepn; long int num; //存储游戏分数 long int time; int dtk; //direction key 记录方向键,及操作方向 int over; int zeronum; }; //该函数为游戏运行函数,当只是玩游戏的时候。进入该函数,游戏控制函数。int main() { //place数组为棋盘,其中为零代表空,-1代表不能合并的牌,其他2的倍数值为本身含义,初始化为全0。 struct board board1, board_backup; int newgame(struct board *, int), show(struct board *), operate(struct board *); char str[100] = "首次运行游戏"; //用于记录系统返回给用户的信息,例如:上一步执行向左合并,按键有误等 newgame(&board1, 0); //调用函数为新局初始化,第二个

课程设计报告【模板】

模拟电子技术课程设计报告设计题目:直流稳压电源设计 专业电子信息科学与技术 班级电信092 学号 200916022230 学生姓名夏惜 指导教师王瑞 设计时间2010-2011学年上学期 教师评分 2010年月日

昆明理工大学津桥学院模拟电子技术课程设计 目录 1.概述 (2) 1.1直流稳压电源设计目的 (2) 1.2课程设计的组成部分 (2) 2.直流稳压电源设计的内容 (4) 2.1变压电路设计 (4) 2.2整流电路设计 (4) 2.3滤波电路设计 (8) 2.4稳压电路设计 (9) 2.5总电路设计 (10) 3.总结 (12) 3.1所遇到的问题,你是怎样解决这些问题的12 3.3体会收获及建议 (12) 3.4参考资料(书、论文、网络资料) (13) 4.教师评语 (13) 5.成绩 (13)

昆明理工大学津桥学院模拟电子技术课程设计 1.概述 电源是各种电子、电器设备工作的动力,是自动化不可或缺的组成部分,直流稳压电源是应用极为广泛的一种电源。直流稳压电源是常用的电子设备,它能保证在电网电压波动或负载发生变化时,输出稳定的电压。一个低纹波、高精度的稳压源在仪器仪表、工业控制及测量领域中有着重要的实际应用价值。 直流稳压电源通常由变压器、整流电路、滤波电路、稳压控制电路所组成,具有体积小,重量轻,性能稳定可等优点,电压从零起连续可调,可串联或关联使用,直流输出纹波小,稳定度高,稳压稳流自动转换、限流式过短路保护和自动恢复功能,是大专院校、工业企业、科研单位及电子维修人员理想的直流稳压电源。适用于电子仪器设备、电器维修、实验室、电解电镀、测试、测量设备、工厂电器设备配套使用。几乎所有的电子设备都需要有稳压的电压供给,才能使其处于良好的工作状态。家用电器中的电视机、音响、电脑尤其是这样。电网电压时高时低,电子设备本身耗供电造成不稳定因家。解决这个不稳定因素的办法是在电子设备的前端进行稳压。 直流稳压电源广泛应用于国防、科研、大专院校、实验室、工矿企业、电解、电镀、充电设备等的直流供电。 1.1直流稳压电源设计目的 (1)、学习直流稳压电源的设计方法; (2)、研究直流稳压电源的设计方案; (3)、掌握直流稳压电源的稳压系数和内阻测试方法。 1.2课程设计的组成部分 1.2.1 设计原理

c语言课程设计报告学生成绩信息管理系统源代码

实验报告 一、问题陈述及其需求分析 (一)问题陈述 学生信息管理系统是对学生信息的基本管理,其中包括以下及模块: (1)增加一个学生的信息(需输入要增加学生的所有信息); (2)统计本班学生总人数及男女生人数。 (3)分别按照学号查找学生的信息;若找到则输出该学生全部信息,否则输出查找不到的提示信息。 (4)按学号对所有学生信息排序,并输出结果; (5)删除一个学生的信息(需指定要删除学生的学号);同时显示删除后的结果。( 二) 功能需求分析 学生信息管理系统设计 学生信息包括:学号,姓名,性别,出生年月,电话 使之提供以下功能: 1、系统以菜单方式工作 2、建立链表并显示 3、插入新的学生信息 4、删除某学号的学生信息 5、查找某学号的学生信息 6、对学生信息排序 7、统计学生人数 8、输出学生信息 二总体设计 (一)模块 依据程序的数据结构,描述该程序的层次结构,如下图:

1、建立链表并显示 void createlist(struct stucode **r); 2、插入新的学生信息 void insert(struct stucode **r); 3、删除某学号的学生信息 void del(struct stucode **r); 4、查找某学号的学生信息 void search1(struct stucode *r); 5、对学生信息排序 void sort(struct stucode **r); 6、统计学生人数 void search2(struct stucode *r); 7、输出学生信息 void out(struct stucode *r); 1 建立链表并显示 2 添加学生信息 3 删除学生信息 息 4 按学号查找学生信 5 对学生信息排序 6统计学生人数 7输出学员信息 8 学生信息写入文件 0退出 main() 菜单函数

网课程设计报告例子样本

湖南涉外经济学院 课程设计报告 课程名称:网页设计 报告题目:“舌尖上中华人民共和国”网页设计报告 学生姓名: *** 所在学院:信息科学与工程学院 专业班级:电商本**班 学生学号: * * 指引教师: *** 6 月24 日

课程设计任务书

摘要 《舌尖上中华人民共和国》是中华人民共和国央视播出美食类纪录片,重要内容为中华人民共和国各地美食生态。通过中华美食各种侧面,来呈现食物给中华人民共和国人生活带来典礼、伦理等方面文化;见识中华人民共和国特色食材以及构成中华人民共和国美食特有气质一系列元素;理解中华饮食文化精致和源远流长。该片重要主题是“变”,向观众展示老式农耕中华人民共和国,同步也告诉观众诸多中华人民共和国老式正在变化。这不但仅是在拍摄美食,更是在拍摄承载中华人民共和国人精神食物。本网站简介了中华人民共和国各地美食,网页是依照《舌尖上中华人民共和国》这档节目中七个主题来设计。分别为:自然地馈赠、主食故事、转化灵感、时间味道、厨房秘密、五味调和、咱们田野。本站建立使用了Fireworks、Flash和Dreamweaver网页制作工具。采用HTML语言、CSS样式、Javascript脚本语言编写网页,最后使静态页面也有丰富多彩视觉效果;此外又使用了网页特效,赋予了其她页面动态之美,动静结合,主题突出,吸引浏览者目光。 核心词:中华人民共和国;美食;文化 内容涉及:1.为什么要设计该网站? 2.本网站包括什么内容?采用了什么技术实现 3.建立本网站可以达到什么效果?

目录 一、作品简介................................................................................ 错误!未定义书签。 二、设计方案................................................................................ 错误!未定义书签。 1. 软硬件环境........................................................................ 错误!未定义书签。 2. 色彩方案............................................................................ 错误!未定义书签。 3. 目录构造图........................................................................ 错误!未定义书签。 三、实现过程................................................................................ 错误!未定义书签。 1. 创立本地站点.................................................................... 错误!未定义书签。 2. 首页.................................................................................... 错误!未定义书签。 3. “自然馈赠”页面............................................................ 错误!未定义书签。 4. “主食故事”页面............................................................ 错误!未定义书签。 5. “**”页面........................................................................... 错误!未定义书签。 6. 申请域名,发布网站........................................................ 错误!未定义书签。 四、结论与心得............................................................................ 错误!未定义书签。 五、参照文献................................................................................ 错误!未定义书签。附录................................................................................................ 错误!未定义书签。

城市轨道交通课程设计报告很齐全很完整的课程设计

城市轨道交通课程设计报告很齐全很完整的课 程设计 文档编制序号:[KKIDT-LLE0828-LLETD298-POI08]

轨道交通课程设计报告指导老师:江苏大学武晓辉老师 一、项目背景及镇江市轨道交通建设必要性 镇江市位于北纬31°37′~32°19′,东经118°58′~119°58′,地处长江三角洲地区的东端,江苏省的西南部,北临长江,与扬州市、泰州市隔江相望;东、南与常州市相接;西邻南京市。镇江市域总面积3847平方公里,总体规划定位城市性质为国家历史文化名城,长江三角洲重要的港口、风景旅游城市和区域中心城市之一。 2005年,镇江城市总体规划进入实施阶段,城市空间布局将极大突破现有形态,“扩充两翼、向南延伸”成为城市新的发展方向。伴随城市化进程加快、镇江跨入特大城市行列,城市空间的拓展对城市交通体系提出了新的要求。镇江市为江苏省辖地级市,现辖京口、润州、丹徒三区,代管句容、丹阳、扬中三市。另有国家级经济技术开发区-镇江新区行使市辖区经济、社会管理权限。镇江全市总面积3848平方公里,人口311万人,市区户籍人口万人市,市区常住人口万人,人民政府驻润州区南徐大道68号。 内部城市空间结构调整:2005年,镇江城市总体规划进入实施阶段,城市空间布局将极大突破现有形态,“扩充两翼、向南延伸”成为城市新的发展方向。伴随城市化进程加快、镇江跨入特大城市行列,城市空间的拓展对城市交通体系提出了新的要求,建设轨道交通是未来城市规划的必然结果。 城市化发展水平规划: 近期(2000-2010):城市化水平达到:55% 城镇人口162万

中期(2010-2020):城市化水平达到:60% 城镇人口184万 远期(2020-2050):城市化水平达到:70% 城镇人口231万 城市等级规模规划: 中期:形成1个大城市,1个中等城市,2个小城市和38个小城镇的等级结构。 远期:形成1个特大城市,2个中等城市,1个小城市和27个小城镇的等级结构。 镇江位于南京都市圈核心层,是一座新兴工业城。镇江拥有2个国家级开发区、6个省级开发区、5个国家级高新技术产业基地,镇江市的经济发展水平居江苏省中等偏上水平。2013年实现国内生产总值亿元,完成公共财政预算收入亿元,城镇居民人均可支配收入32977元,农民人均纯收入16258元,增长%,;人均GDP73947元,居江苏省第5名。“三次产业”分别实现增加值亿元、亿元、亿元,同比分别增长%、%和%。 镇江高新区位于我市主城区的西部,晋升为国家级高新区后,就与东部的镇江国家级经济技术开发区(镇江新区)形成“两翼”,在提升经济体量的基础上,进一步提升经济质量,形成主城区“一体两翼”格局。同时,与苏南现代化示范区建设、国家自主创新示范区创建等重大机遇形成叠加优势。 经济发展规划: 近期:人均GDP达到万元,产业结构为∶∶41,财政总收入完成80亿元以上 中期:人均GDP达到6万元,产业结构为∶∶44,财政总收入150亿元以上。

c语言课程设计报告

C语言程序设计B 课程设计报告 设计题目:学生成绩管理系统年级班级: 学号: 姓名: 指导教师: 2020 年 6 月 18 日

目录 一、设计目的 (3) 二、课程设计内容和要求 (4) 三、总体设计 (5) 四、主要步骤 (12) 五、功能实现 (13) 六、功能测试 (64) 七、评价程序 (83) 八、课程设计体会 (84)

一、设计目的 程序设计能力是当代理工类大学生的必备能力,当学生学习了C语言之后,编程能力远远不能达到开发实际应用程序的要求,特备是对其中的指针、结构体、链表和文件部分的理解和应用都比较弱。 程序设计的目的是通过对面向过程的学习,将C语言的主要知识点进行综合,针对提高学生C语言程序设计能力,强化编写较大的应用软件能力,熟练运用已掌握的知识解决实际需要解决的问题,以达到更加熟知指针、链表、结构体、文件读取、标准库的使用。 主要目的为以下几点: 1、熟练掌握面向过程(结构化)程序设计基本知识; 2、综合运用所学知识解决实际问题; 3、受到面向过程(结构化)程序设计的基本训练; 4、体会面向过程(结构化)开发程序的过程。

二、课程设计内容和要求 1.课程设计内容: 学生通过使用C语言进行编写算法,开发学生成绩管理系统,以实现对学生成绩的管理。 2.课程设计要求 1)具有菜单,可以在菜单上进行选择; 2)能实现学生数据录入、修改、删除、查询; 3)能实现数据排序; 4)有数据输出功能; 5)有数据读取与存储功能; 6)一个学生的数据(称为:每条记录)包含姓名、学号、语文、数 学、英语、总分、名次等信息; 7)以结构方式存储每条记录; 8)多条记录以链表方式存储到内存; 9)随时可将全部数据存储到磁盘文件中,方便下次从磁盘读取数据。

数据结构课程设计报告范例

Guangxi University of Science and Technology 课程设计报告 课程名称:算法与编程综合实习 课题名称: 姓名: 学号: 院系:计算机学院 专业班级:通信121 指导教师: 完成日期:2012年12月15日

目录 第1部分课程设计报告 (3) 第1章课程设计目的 (3) 第2章课程设计内容和要求 (4) 2.1 问题描述 (4) 2.2 设计要求 (4) 第3章课程设计总体方案及分析 (4) 3.1 问题分析 (4) 3.2 概要设计 (7) 3.3 详细设计 (7) 3.4 调试分析 (10) 3.5 测试结果 (10) 3.6 参考文献 (12) 第2部分课程设计总结 (13) 附录(源代码) (14)

第1部分课程设计报告 第1章课程设计目的 仅仅认识到队列是一种特殊的线性表是远远不够的,本次实习的目的在于使学生深入了解队列的特征,以便在实际问题背景下灵活运用它,同时还将巩固这种数据结构的构造方………………………………………………………………………………………………………………………………………………………………………………………..(省略)

第2章课程设计内容和要求 2.1问题描述: 迷宫问题是取自心理学的一个古典实验。在该实验中,把一只老鼠从一个无顶大盒子的门放入,在盒子中设置了许多墙,对行进方向形成了多处阻挡。盒子仅有一个出口,在出口处放置一块奶酪,吸引老鼠在迷宫中寻找道路以到达出口。对同一只老鼠重复进行上述实验,一直到老鼠从入口走到出口,而不走错一步。老鼠经过多次试验最终学会走通迷宫的路线。设计一个计算机程序对任意设定的矩形迷宫如下图A所示,求出一条从入口到出口的通路,或得出没有通路的结论。 图A 2.2设计要求: 要求设计程序输出如下: (1) 建立一个大小为m×n的任意迷宫(迷宫数据可由用户输入或由程序自动生成),并在屏 幕上显示出来; (2)找出一条通路的二元组(i,j)数据序列,(i,j)表示通路上某一点的坐标。 (3)用一种标志(如数字8)在迷宫中标出该条通路; (4)在屏幕上输出迷宫和通路; (5)上述功能可用菜单选择。

WEB课程设计报告

Web开发技术课程设计题目:学生信息管理系统 院系:软件工程 班级学号:软件13 -1(21,19) 姓名:唐波 同组成员:史伟良 指导教师:王双利 2014 年12 月12 日

Web开发技术课程设计任务书 一、题目:学生信息管理系统 二、设计要求 (1)史伟良负责设计与实现管理系统登陆界面;唐波负责设计与实现管理系统增删改查界面。 (2)查阅相关资料,自学具体课题中涉及到的新知识。 (3)采用结构化、模块化程序设计方法,功能要完善,具有一定的创新。 (4)所设计的程序有输入、输出。 (5)按要求写出了课程设计报告,于设计结束后2天提交了。其主要内容包括:封皮、课程设计任务书,指导教师评语与成绩、目录、概述、软件总体设计、详细设计、软件的调试、总结、致谢、附录(带中文注释的程序清单)、参考文献。总体设计应配合软件总体模块结构图来说明软件应具有的功能;详细设计应用传统或N-S流程图和屏幕抓图说明;调试的叙述应配合出错场景的抓图来说明出现了哪些错误,如何解决的。 三、课程设计工作量 一般每人的程序量在200行有效程序行左右,不得抄袭。 四、课程设计工作计划 2014年12月8日,指导教师讲解布置题目,学生根据题目准备资料; 2014年12月8日,进行总体方案设计; 2014年12月8日~2014年12月10日,完成程序模块并通过独立编译; 2014年12月10日~2014年12月11日,将各模块集成为一完整的系统, 并录入足够数据进行调试运行; 2014年12月11日~2014年12月12日,验收、撰写课程设计报告。 指导教师签章: 专业主任签章:

Web开发技术课程设计指导教师评语与成绩

课程设计报告(模板)

《地震勘探课程设计》 报告 院系 班级 学生 学号 指导教师 完成日期2014年3月12日 长江大学工程技术学院

目录 一、课程设计目的 (3) 二、课程设计的容 (3) 三、课程设计原理 (3) 四、工区数据 (4) 五、课程设计步骤 (5) 1、建立工区 (5) 2、资料加载 (8) 3、层位标定和层位追踪 (10) 4、断层解释 (13) 5、构造图绘制 (14) 六、心得体会 (15)

一、课程设计目的 地震勘探解释课程设计是我们勘查技术与工程专业和资源勘查工程专业教学中的一个重要的实践性训练环节,通过上机实际操作,训练我们对地震资料进行常规构造解释的实际能力,最终使我们达到:学会利用地震解释软件来进行地震数据的加载,地震层位的标定,地震层位的追踪对比,在地震资料上分析和解释各种断层,以及地震构造图的编制方法。同时,还要学会综合地震地质资料对构造解释结果进行分析,进而对含油气有利地带进行评价和预测,最终编制成果报告。 二、课程设计的容 本次课程设计是理论联系实际的具体表现,是培养学生分析问题、解决问题能力的一个必不可少的环节,主要分为两部分:一、通过对地震资料解释软件Discovery的使用,追踪解释层位数据;二、通过surfer软件学习成图。使学生对地震常用的解释软件有一个初步的认识,能为毕业后从事地震勘探工作奠定良好的基础。地震解释课程设计是勘查技术与工程专业教学中的一个重要的实践性训练环节。通过实验主要训练学生对地震资料进行常规构造解释的实际能力,具体要使学生达到: 1.了解人机联作的基本知识; 2.初步学会地震解释软件的操作流程(工区建立、资料加载、合成记录制作、层位标定、层位追踪、断层解释、断点组合); 3. 进一步巩固和掌握地震资料解释的基本功; 4.初步学会地震成果的地质分析; 5.初步学会编写地震资料解释文字报告;

C语言课程设计报告-模板

C语言课程设计报告 班级:16 物联网 一、题目说明 (1)读取输入信息,能正确进行数字运算

(2)拥有记忆功能,可查询最近几次计算结果并继续进行数字运算。 二、基本功能实现 (1)能实现两个数之间的加减,乘,除,乘方,开方等运算。 (2)实现记忆功能,可保留近十位结果,可用#键查看。(3)*键作为清0键,清除当前屏幕上的值为0表示重新开三、设计流程(可以画流程图,可以直接写说明)

四、代码 #include #include #include #include double yunsuan(double a, char operate, double b); //处理数值之间的运算void PutRes(double array[], double res, int *i); //保存该次运算结果 int main() { char str[40]; double a = 0; double b = 0; double res = 0; double history[10] = {0,0,0}; char opt; int i = 0; printf("欢迎进入计算功能(eg: 1 \n+ 1 ; '#':查询历史结果; 'q':退出程序)·\n"); while(1){

fgets(str,40,stdin); //将从键盘的一整行标准输入保存在字符串str中 if(sscanf(str,"%lf", &a) == 1){ //将str以长浮点型的格式放入a中,如果返回值为1,说明输入的是数值, scanf("%c %lf", &opt, &b); //获取操作符的值和另外一个操作数的值 res = yunsuan(a,opt,b); //调用yunsuan()函数计算,并将结果给res printf("%lf %c %lf = %lf\n\n",a, opt, b, res); PutRes(history, res, &i); //调用函数PutRes()将本次结果保存到数组history中(i用引用传递) // printf("%d\n", i); }else if(sscanf(str,"%c",&opt) == 1){ //将str以字符型的格式放入opt中,如果返回值为1,说明输入的是字符 if(opt == '#'){ //如果opt为‘#’,则显示history 中的数值 int j = 0; for(j = 0; j < i; ++j){ //i为history中存放的数值个数 printf(" %lf ", history[j]); } printf("\n");

51CTO下载-电子商务网站课程设计报告范例

1.正文 (1)引言 ①.程序设计的背景 21世纪的重要特征是数字化、网络化和信息化。自从上个世界90年代以后,以因特网为代表的计算机网络得到了飞速的发展,已从最初的教育科研网络发展成为商业网络,并已成为仅次于全球网的世界第二大网络。很多人认为现在已经是互联网的时代,这是因为互联网正在并继续改变着我们的工作和生活的各个方面,加速了全球信息革命的进程。现在人们的生活、工作、学习和交往都已经离不开因特网,互联网成为全球通信与贸易的重要工具。网上购物系统正在成为人们日益信赖的购物平台,其以优越的方便快捷性、实用性、可获得性等特性获得越来越多人的青睐,网上购物逐渐成为很多人购物的主要途径。另外,随着计算机身份认证技术、数字签名、数据库安全、数字水印、信息隐藏、入侵检测、防火墙等网络安全技术的发展使得网上购物的环境越来越安全,大型的合理构建,政府机构完备的法律制度都极大的保证了网上购物的安全性,提高了顾客的信任度,使得网络购物更加普及。作为当代的大学生,网络更是贯穿于我们的生活,加上年轻人乐于尝试新生事物的心理趋向也让我们对于网上购物有了更多了解并参与其中。基于对网络购物环境的理解,结合自己的网络购物的体会,本小组成员进行了此次课程设计,基本上实现了简单的基于Java平台的JSP电子商务设计(电脑购物B2C模式的电子商务)。 ②.程序设计的需求分析 本电子商务总体基于Java,利用服务器端动态网页开发的JSP技术,辅以客户端动态网页开发的Javascript技术,主要设计实现以下功能: 1.前台销售,包括以下容: 1)用户注册: 显示注册界面,通过Javascript技术判断用户填写的注册信息是否规,用户名是否已经存在、填写的密码是否一致、Email是否为正确格式等等。用户确认所填注册信息并通过验证后,将注册信息添加到数据库中的userInfo表中。 2)用户登录 用户输入用户名和密码后,检验所输入的用户名和密码是否与数据库中的用户名和密码一

城市轨道交通课程设计报告很齐全很完整的课程设计

城市轨道交通课程设计报告很齐全很完整的课程设计

轨道交通课程设计报告 指导老师:江苏大学武晓辉老师 一、项目背景及镇江市轨道交通建设必要性 镇江市位于北纬31°37′~32°19′,东经118°58′~119°58′,地处长江三角洲地区的东端,江苏省的西南部,北临长江,与扬州市、泰州市隔江相望;东、南与常州市相接;西邻南京市。镇江市域总面积3847平方公里,总体规划定位城市性质为国家历史文化名城,长江三角洲重要的港口、风景旅游城市和区域中心城市之一。 ,镇江城市总体规划进入实施阶段,城市空间布局将极大突破现有形态,“扩充两翼、向南延伸”成为城市新的发展方向。伴随城市化进程加快、镇江跨入特大城市行列,城市空间的拓展对城市交通体系提出了新的要求。镇江市为江苏省辖地级市,现辖京口、润州、丹徒三区,代管句容、丹阳、扬中三市。另有国家级经济技术开发区-镇江新区行使市辖区经济、社会管理权限。镇江全市总面积3848平方公里,人口311万人, 市区户籍人口103.3万人市, 市区常住人口122.37万人,人民政府驻润州区南徐大道68号。

内部城市空间结构调整:,镇江城市总体规划进入实施阶段,城市空间布局将极大突破现有形态,“扩充两翼、向南延伸”成为城市新的发展方向。伴随城市化进程加快、镇江跨入特大城市行列,城市空间的拓展对城市交通体系提出了新的要求,建设轨道交通是未来城市规划的必然结果。 城市化发展水平规划: 近期( - ):城市化水平达到:55% 城镇人口162万 中期( -2020):城市化水平达到:60% 城镇人口184万 远期(2020-2050):城市化水平达到:70% 城镇人口231万 城市等级规模规划: 中期:形成1个大城市,1个中等城市,2个小城市和38个小城镇的等级结构。 远期:形成1个特大城市,2个中等城市,1个小城市和27个小城镇的等级结构。 镇江位于南京都市圈核心层,是一座新兴工业城。镇江拥有2个国家级开发区、6个省级开发区、5个国家级高新技术产业基地,镇江市的经济发展水平居江苏省中等偏上水平。实现国内生产总值2927.09亿元,完成公共财政预算收入245.52亿元,城镇居民人均可支配收入32977元,农民人均纯收入16258元,增长18.1%,;人均GDP73947元,居江苏省第5名。“三次产业”分

课程设计报告模版

课程设计报告模版

《城市排水处理》 课程设计报告 系别:城市建设系 专业班级:给水排水0601班 学生姓名: 指导教师:段泽琪 (课程设计时间: 6月15日—— 6月19日) 华中科技大学武昌分校

目录 1.课程设计目的 (1) 2.课程设计题目描述和要求 (1) 3.课程设计报告内容 (3) 3.1污水处理工艺方案比较 (3) 3.2主要污水处理构筑物选型 (6) 3.3污水处理构筑物的主要设计参数 (7) 3.4污水处理辅助构筑物设计 (8) 3.5污水处理厂平面布置设计 (8) 3.6 污水处理厂高程布置设计 (9) 3.7 设计计算………………………………………………………………………

10 4.总结……………………………………………………………………………页码 参考文献…………………………………………………………………………页码 (要求:目录题头用三号黑体字居中书写,隔行书写目录内容。目录中各级题序及标题用小四号黑体)

1. 课程设计目的 (1) 经过污水处理厂课程设计,巩固学习成果,加深对《水污染控制》课程内容的学习与理解,使学生学习使用规范、手册与文献资料,进一步掌握设计原则、方法等步骤,达到巩固、消化课程的主要内容; (2) 锻炼独立工作能力,对污水处理厂的主体构筑物、辅助设施、计量设备及污水厂总体规划、管道系统做到一般的技术设计深度,培养和提高计算能力、设计和绘图水平; (3) 在教师指导下,基本能独立完成一个中、小型污水处理厂工艺设计,锻炼和提高学生分析及解决工程问题的能力。 2.课程设计题目描述和要求 2.1 设计题目描述 (1) 设计题目 某城市污水处理厂工艺初步设计。 (2) 设计内容 根据任务书所给定的资料,综合运用所学的基础、专业基础和专业知识,设计一个中小型污水处理厂。 ①确定污水处理方法和工艺流程; ②选择各种处理构筑物形式,并进行工艺设计计算(计算书中要附计算草图); ③估算各辅助构筑物的平面尺寸; ④进行污水厂平面布置和高程布置。

c语言课程设计报告书

课程设计报告书 所属课程:c语言 项目:库函数模拟系统 指导老师:邹姝稚 班级:软件1401 姓名:江闯 学号:141403107 分数:

1.任务描述: 本系统开发的代码模拟了下列库函数的功能: (1).模拟gets和puts的功能:能接收一个字符串,将其写入ASCII文件,并且可以读出显示。 (2).在不使用字符串库函数的条件下,编程实现字符串的拷贝,连接,求长,倒置功能。 (3).字符串加密功能:将一段明文建立到一个ASCII文件中,按加密的算法加密后写入密文文件,最终实现将明文和密文文件都显示出来。 (4).编程实现字符串与对应数字的相互转换功能。 2.总体设计: 模块调用图: 图1

函数调用图: 图2 函数功能: (1)函数gp( ):模拟gets和puts的功能 (2)函数kaobei( ):实现字符串的拷贝 (3)函数lianjie( ):实现字符串的连接 (4)函数qiuchang( ):实现字符串的求长 (5)函数daozhi( ):实现字符串的倒置 (6)函数jiami( ):实现字符串的加密功能,最后将明文和密文文件都显示出来 (7)函数zhuanhuan1( ):将数字字符串转换为对应的数字 (8)函数zhuanhuan2( ):将数字转换为对应的数字字符串 3.详细设计: (1).模仿gets和puts功能: gets和puts功能与scanf和printf函数的功能相似,但是也不是完全相同,本系统模拟功能的程序总共运用了两次函数调用技术,模拟gets运用了一次,模拟puts运用了一次。 (2)..字符串的拷贝功能: 字符串的拷贝功能程序设计源于strcpy的功能,其中运用了字符串数组和指针的相关技术,还运用了一组while语句,实现语句的循环,从而将一个字符串拷贝到一个空数组中。

C语言课程设计报告示例

课程设计和调试过程规范化要求 1)需求分析 分析系统功能需求以及用户操作流程。 2)概要设计 在需求分析的基础上,确定系统总体框架(系统功能结构图)。 3)详细设计 定义数据存储结构,并设计实现系统功能的具体算法,画出各算法的工作流程图。 4)代码设计 根据所设计的算法,定义相应函数分别实现系统的各子功能模块,同时由主程序提供友好的用户界面,使用户可通过选择主菜单来调用课程设计中要求完成的各个功能模块,子程序执行完后还可以返回到主菜单,继续选择其他功能执行。源程序要求书写规范,结构清晰。重点函数的重点变量,重点功能部分均要求给出清晰的程序注释。 5)程序调试 程序编译、链接成功后,自己设计实现程序功能的一组或多组测试数据,并严格按照此测试数据进行测试,然后分析测试结果。如果程序不能正常运行或结果不正确,则需对程序进行单步调试,在调试过程中认真查找算法实现中存在的问题,并加以分析和改正。 如果程序能够基本正确地运行,可考虑增加若干基本的容错功能(如避免用户操作错误时程序出现死循环等);另外尽量对现有算法给出改进方案,并比较不同算法之间的优缺点。 课程设计报告及书写内容要求 课程设计任务完成后,每位同学必须独立书写一份课程设计报告。课程设计报告的内容

应包括以下部分: 1)需求分析。包括设计题目、设计要求以及系统功能需求分析; 2)概要设计。包括系统总体设计框架和系统功能模块图; 3)详细设计。包括主要功能模块的算法设计思路以及对应的工作流程图; 4)主要源程序代码。包括存储结构设计说明,以及完整源程序清单; 5)调试分析过程描述。包括测试数据、测试输出结果,以及对程序调试过程中存在问题的 思考(列出主要问题的出错现象、出错原因、解决方法及效果等); 6)测试结果。列出所有功能的运行界面,并作文字说明。 7)总结。包括课程设计过程中的学习体会与收获、对C语言和本次课程设计的认识以及 自己的建议等内容。 8)源代码。完整的c语言代码。

CAD课程设计报告

XXX课程标准 盐城工学院 《电子线路CAD》课程设计报告 设计题号:第五题 姓名:邓钟鸣 学院:信息工程学院 专业:电科 班级:141 页脚内容1

学号:33 日期2016年12月26日——2017年1月13日指导教师:曹瑞、朱明 页脚内容2

目录 一、摘要 (1) 二、设计的任务与要求 (1) 三、软件介绍 (1) 四、画图的步骤 (3) 五、设计总结 (20) 六、参考文献 (21) 附录: 附录1.原理图 附录2.PCB图 页脚内容1

页脚内容2

一、摘要 电子线路CAD是从实用角度出发,详细介绍了Altium Designer的实用功能,可以引导读者轻松入门,快速提高。全面介绍了Altium Designer的界面、基本组成及使用环境等,并详细讲解了电路原理图的绘制、元件设计、印制电路板图的基本知识、印制电路板图设计方法及操作步骤等,详细讲解了电路从电路原理图设计到印制电路板图输出的整个过程。 关键词:Altium Designer软件;电路原理图设计;电路板; 二、设计的任务与要求 1.锻炼学生将理论用于实际和动手的能力以及更熟练的使用Altium Designer软件 2.使学生学会绘制电路原理图、电路查错、仿真、PCB(Printed Circuit Board,印刷电路板)设计 3、掌握元件封装的方法 意义:通过这次Altium Designer期末考试以及报告的设计,提高思考能力和实践能力。同时通过本课题设计,巩固已学的理论知识,建立逻辑数字电路的理论和实践的结合,了解各单元电路之间的关系及相互影响,从而能正确设计、计算各个单元电路。而且更加掌握的Altium Designer该软件的使用,对原理图的绘制和PCB的布局以及电路的仿真都有了进一步的理解。 三、软件介绍 页脚内容1

单片机课程设计报告模板

单片机系统课程设计报告 专业:自动化 学生姓名: 学号: 指导教师: 完成日期:2011 年 3 月17 日

目录 1 设计任务和性能指标 (3) 1.1设计任务............................................................................ 错误!未定义书签。 2 设计方案 (4) 2.1任务分析 (4) 2.2方案设计 (4) 3 系统硬件设计 (5) 3.1时钟的电路设计 (5) 3.2复位电路设计 (5) 3.3灯控电路设计 (5) 3.4倒计时电路设计 (6) 3.5按键控制电路设计 (7) 4 系统软件设计 (8) 4.11秒定时 (8) 4.2定时程序流程 (8) 4.3交通灯的设计流程图 (9) 4.4定时器0与中断响应 (10) 5 仿真及性能分析 (10) 5.1仿真结果图 (11) 5.2仿真结果与分析 (12) 6 心得体会 (13) 参考文献 (14) 附录1 系统原理图 (15) 附录2 系统PCB图 .................................................................. 错误!未定义书签。附录3 程序清单 (17)

1.1设计任务 利用单片机完成交通信号灯控制器的设计,该交通信号灯控制器由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用红、绿、黄发光二极管作信号灯。如图5.1所示。设东西向为主干道,南北为支干道。 图5.1 交通灯示意图 1. 基本要求 (1) 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干 道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 (2) 主、支干道均有车时,两者交替允许通行,主干道每次放行30秒, 支干道每次放行20秒,设立30秒、20秒计时、显示电路。 (3) 在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡。 黄灯亮时,原红灯按1Hz 的频率闪烁。 (4) 要求主支干道通行时间及黄灯亮的时间均可在0~99秒内任意设置。 2. 选做 (1) 可设置紧急按钮,在出现紧急情况时可由交警手动实现全路口车辆 禁行而行人通行状态,即主干道和支干道均为红灯亮。 (2) 实现绿波带。所谓‘绿波带’,是指在一定路段,只要按照规定时速, 就能一路绿灯畅行无阻。“绿波带”将根据道路车辆行驶的速度和路口间的距离,自动设置信号灯的点亮时间差,以保证车辆从遇到第一个绿灯开始,只要按照规定速度行驶,之后遇到的信号灯将全是绿灯。 南 北 东 西

相关文档