文档库 最新最全的文档下载
当前位置:文档库 › 09年数字逻辑期末试卷(A卷)试题及答案

09年数字逻辑期末试卷(A卷)试题及答案

09年数字逻辑期末试卷(A卷)试题及答案
09年数字逻辑期末试卷(A卷)试题及答案

09年数字逻辑期末试卷(A卷)试题及答案

华东师范大学期末试卷(A ) 2009 — 2010 学年第 一 学期

课程名称:___数字逻辑______

学生姓名:___________________ 学 号:___________________

专 业:___________________ 年级/班级:__________________

课程性质:公共必修、公共选修、专业必修、专业选修

………………………………………………………………………………………… 一、填空题 (20分,每空2分)

1. (34.5)10 = ( (1) 11 0100.0101 )8421BCD = ( (2) 100010.1 )2 = ( (3) 2

2.8 )16 。

2. ()Y A B C CD =++的对偶式为___(4)Y ’A C B C A D ''''''=++ 。

3. 在数字系统中,要实现线与功能可选用___(5)OC/OD 门;要实现总线结构可选用___(6)传输 门。

4. 化简F (A,B,C,D )=∑m(3,5,6,7,10)+d (0,1,2,4,8)可得 (7) F =A ’+B ’D ’ 。

5. 已知某左移寄存器,现态为011001,若空位补0,则次态为 (8)110010 。

6. 二进制数(- 10110)2的反码和补码分别为 (9)101001 和 (10)101010 。

二、选择题(20分,每题2分)

1.在下列逻辑部件中.不属于组合逻辑部件的是 D 。

A.译码器 B.编码器 C.全加器 D.寄存器

2.逻辑表达式A+BC = B 。

A.A+C B.(A+B)(A+

C) C.A+B+ABC D.B+C

3.能得出X=Y的是 C

A.X+Z=Y+Z B.XZ=YZ C. X+Z=Y+Z且XZ=YZ D.以上都不能

4.为将D触发器转换为T触发器,图中所示电路的虚框内应是 _ A_。

A.同或门B.异或门 C.与非

门D.或非门

5.设A1、A2、A3为三个信号,则逻辑函数 C 能检测出这三个信号中

是否含有奇数个高电平。

A.A1A2A3 B.A1+A2+A3 C.A1⊕A2⊕A3 D.A1+A2A3

6.以下说法正确的是 C

A.TTL门电路和CMOS门电路的输入端都可以悬空

B.TTL门电路和CMOS门电路的输入端都不可以悬空

C.TTL门电路的输入端可以悬空,而CMOS门电路的输入端不可以悬空

D.TTL门电路的输入端悬空时相当于接高电平,CMOS门电路的输入端悬空时相当于接低电平。

7.除JK触发器外, B 也可实现翻转功能

A.D触发器 B. T触发器 D. SR触发器 C. SR锁存器

8. D.触发器是时序逻辑电路的基本逻辑单元

A.计数器 B.门电路 C.寄存器 D.触发器

9.为了能使用数字电路处理模拟信号,须将模拟信号通过 A 转换为相

应的数字信号。

A. A/D转换器 B. D/A转换器 C. A/D 或D/A转换器 D.以上都不行

10.触发器和时序电路中的时钟脉冲一般是由 A 产生的,它可由555

定时器构成。

A.多谐振荡器 B. 施密特触发器 C. 单稳态触发器 D. 边沿触发器

三、简答题(5分)

用卡诺图化简下面逻辑函数,要求为最简与或式。

Y=F(A,B,C)=AB'C'+A'B'+C

答:

∑=m F ( 0,1,3,4,5,

7 )

F=B '+C

四、分析题 (30分) 1、

分析下图的逻辑功能,写出Y1、Y2的逻辑函数式,列出真值表,指出电

路完成什么功能。(10分)

答:

1 1 1 1

2、设触发器的初始状态为Q1=0,Q2=0,试画出Q1、Q2端的电压波形(8分)。

答:

3、设下图电路状态S=Q1Q0,起始时状态为Q1Q0=00。要求:(1)写出电路的输出方程、驱动方程及状态方程(3分);(2)列出状态转换表(4分);(3)画出完整的状态转换图(3分);(4)说明该电路的逻辑功能(2分)。(共12分)

答:

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

09-10第一学期九年级期末考试试卷及答案

《课程标准》达标测试 九年级数学试题 (满分:120分) 亲爱的同学,时间过的真快!新课程又伴你走过了一个新的学期,相信你在知识与能力方 面都得到了充实和提到,更加懂得应用数学来解决实际问题.现在让我们一起走进考场,仔细思考,认真作答,相信成功将属于你――数学学习的主人! 一﹑精心选一选,一锤定音(每小题给出的四个选项中,只有一个是正确的,请把正确的选项选出 来,并将正确选项填入下面的答题卡中) 1、ο 30cos 的值为( ) A. 2 1 B. 22 C. 23 D. 33 2、在平面直角坐标系中,点P (-2,-5)关于原点对称的点的坐标是( ) A.(-2,5) B.(2,5) C.(-2,-5) D.(2,-5) 3、抛物线5)3(2 1 2--- =x y 的对称轴是直线( ) A. 3-=x B. 3=x C. 5-=x D. 5=x 4、在半径为3的圆中,150°的圆心角所对的弧长是( ) A . 154π B .152π C .54π D .52 π 5、下列计算正确的是( ) A. 562432=+ B. 262223=? C. 3327=÷ D. 3)3(2-=- 6、下列说法正确的是( ) A. 全等图形一定是位似图形 B. 相似图形一定是位似图形 C. 位似图形一定是全等图形 D. 位似图形是具备某种特殊位置关系的相似图形 7、已知点(1,8)在二次函数22 +=ax y 的图像上,则a 的值为( ) A. 6 B. -6 C. 2± D. 5± 8、如图(1)所示,小红要制作一个高为8cm ,底面圆直径是12cm 的圆锥形小漏斗,若不计接缝,不计损耗,则她所需纸板的面积是:( ) A 、60πcm 2 B 、48πcm 2 C 、120πcm 2 D 、96πcm 2 9、二次函数c bx ax y ++=2 的图像如图(2)所示,则下列结论正确的是( )

数字逻辑模拟试题

数字逻辑模拟试题 一.单项选择题1.表示任意两位无符号十进制数至少需要()二进制数。 A .6 B.7 C.8 D.9 2.余3码10001000对应的2421码为()。 A .01010101 B.10000101 C.10111011 D. 11101011 3.下列四个数中与十进制数(72)10 相等的是()A.(01101000)2 B. (01001000)2 C.(01110010)2 D. (01001010)2 4.某集成电路芯片,查手册知其最大输出低电平U oLmax =0.5V,最大输入低电平U lLmax =0.8V,最小输出咼电平U oHmi n= 2.7V,最小输入高电平U lHmi n= 2.0V,则其高电平噪声容限U NH=() A.0.3V B.0.6V C.0.7V D.1.2V

5 ?标准或-与式是由()构成的逻辑表达式。 A ?与项相或 B.最小项相或 C.最大项相与 D.或项相与 6.根据反演规则, F A C C DE E的反函数为()。 A. F [AC C(D E)]E B.F AC C(D E)E C. F (AC CD E)E D.F AC C(D E)E 7、对于TTL或非门多余输入端的处理,不可以()( A、接电源 B、通过0.5k Q电阻接地 C、接地 D、与有用输入端并联 8?下列四种类型的逻辑门中,可以用()实现三种基本逻辑运算。 A.与门 B.或门 C.非门 D.与非门 9.将D触发器改造成T触发器,图1所示电路中的虚线框内应是()。

A.或非门 B.与非门 C.异或门 D.同或门 10.以下电路中可以实现线与功能的有()。 A. 与非门 B.三态输出门 C.传输门 D.漏极开路门 11 ?要使JK触发器在时钟作用下的次态与现态相反, JK端取值应为()。 A. JK=00 B. JK=01 C. JK=10 D. JK=11 12?设计一个四位二进制码的奇偶校验器,需要()个异或门。 A . 2 B. 3 C. 4 D. 5 13.相邻两组编码只有一位不同的编码是() A. 2421BCD码 B.8421BCD码 C.余3 码 D.循环码14?下列电路中,不属于时序逻辑电路的是() A.计数器 B.全加器 C.寄存器 D.RAM

《数字逻辑与数字系统》期末考试试题(A)

北京邮电大学2008——2009学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 考试注意事项 一、学生参加考试须带学生证或学院证明,未带者不准进入考场。学生必须按照监考教师指定座位就坐。 二、书本、参考资料、书包等物品一律放到考场指定位置。 三、学生不得另行携带、使用稿纸,要遵守《北京邮电大学考场规则》,有考场违纪或作弊行为者,按相应规定严肃处理。 四、学生必须将答题内容做在试题答卷上,做在草稿纸上一律无效。 五、学生的姓名、班级、学号、班内序号等信息由教材中心统一印制。 考试 课程 数字逻辑与数字系统 考试时间 2009年1月13日 题号 一 二 三 四 五 六 七 八 总分 满分 10 20 10 10 10 12 14 14 得分 阅卷 教师 一、选择题(每小题1分,共10分。) 1. )D C B (B )B A (A F ++++==( ) A . B B . A+B C . 1 D .AB 2.同步时序电路和异步时序电路比较,其差异在于后者( ) A . 没有稳定状态 B . 没有统一的时钟脉冲控制 C . 输入数据是异步的 D . 输出数据是异步的 3.(10000011)8421BCD 的二进制码为( )。 A .( 10000011)2 B .(10100100)2 C . (1010011)2 D . (11001011)2 4. 74LS85为四位二进制数据比较器。如果只进行4位数据比较,那么三个级联输入端ab 、a=b 应为( )。 A . ab 接地,a=b 接地 B . ab 接高电平,a=b 接高电平 C . ab 接高电平,a=b 接地

08-09概率论期末考试试卷A (1)

《概率论与数理统计》期末考试试卷(A1) 2、下列叙述中正确的是( A ). (A) ( )1X EX D DX -= (B) ~(0,1)X EX N DX - (C) 2 2 )(EX EX = (D) 22()EX DX EX =- 3、设θ是总体X 中的参数,称),(θθ为θ的置信度a -1的置信区间,下面说话正确的是( D ). (A) 以),(θθ估计θ的范围,不正确的概率是a -1 (B) θ 以概率a -1落入),(θθ (C) θ以概率a 落在),(θθ之外 (D) ),(θθ以概率a -1包含θ 4、设(,)0,(,)(,)~(,)0,g x y x y G X Y f x y ≠∈?=?? 其它,D 为一平面区域,记G,D 的面积分别为 ,G D S S ,则{(,)}(B )P x y D ∈=. (A)G D S S (B) ??D dxdy y x f ),( (C) (,)G g x y dxdy ?? (D) G G D S S 5、设总体分布为),(2 σμN ,若μ未知,则要检验20:100H σ≥,应采用统计量( B ). (A) n S X /μ- (B) 100) (2 1 ∑=-n i i X X (C) 100 ) (2 1 ∑=-n i i X μ (D) 2 2 )1(σS n - 6、有三类箱子,箱中装有黑、白两种颜色的小球,各类箱子中黑球、白球数目之比为 ,2:3,2:1,1:4已知这三类箱子数目之比为1:3:2,现随机取一个箱子,再从中随机 取出一个球,则取到白球的概率为( A ). (A) 15 7 (B) 45 19 (C) 13 5 (D) 30 19 7、设随机变量X 的概率密度函数为(),()(),()f x f x f x F x =-是X 的分布函数,则对任意实数a 有( B ). (A) ? - =-a dx x f a F 0 )(1)( (B) ∑?-=-a dx x f a F 0)(2 1 )( (C) )()(a F a F =- (D) 1)(2)(-=-a F a F 题目 一 二 三 四 五 六 七 八 九 十 总分 得分 一.填空题:(本大题共7小题,每小题3分,共21分) 1. 已知样本1621,,,X X X 取自正态分布总体(3,1)N ,X 为样本均值,已知{}0.5P X λ<=,则=λ 3 。 2.已知11()()(),()0,()()4 8 p A p B p C p AB p AC p BC === === ,则C B A ,,全不发生的概率为 1 2 。 3. 设5 ~(0,1),5,X N Y X =+ 则()E Y = 5 . 4.设X 在[2,]b 服从均匀分布,n X X ,,1 是从总体X 中抽取的样本,则b 的矩估计量为:22X +. 随机变量 X 的分布函数为: F (x ) = (1), 0.5(11),0.8(13), 1 (3). x x x x <-??-≤

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

数字逻辑期末考试题

数字逻辑考试题 数字逻辑考试题(一) 一、填空(共17分,每空1分) 1. (1011.11)B =( ) D =( )H 2. (16)D =( )8421BCD 码。 3. 三态门的输出有 、 、 三种状态。 6. ABC C B A Y =),,( 的最简式为Y= 。 7. 由n 位寄存器组成的扭环型移位寄存器可以构成 进制计数器。 10. 四位环型计数器初始状态是1000,经过5个时钟后状态为 。 11. 在RS 、JK 、T 和D 触发器中, 触发器的逻辑功能最多。 12. 设一个包围圈所包围的方格数目为S ,消去的变量数目为N ,那么S 与N 的关系式应是 。 13. 在卡诺图化简逻辑函数时,圈1求得 的最简与或式,圈0求得 的最简与或式。 二、选择(共10分,每题1分) 1. DE BC A Y +=的反函数为Y =( )。 A. E D C B A Y +++?= B. E D C B A Y +++?= C. )(E D C B A Y +++?= D. )(E D C B A Y +++?= 3. 十进制数25用8421BCD 码表示为( )。 A. 10101 B. 0010 0101 C. 100101 D. 10101 4. 若用1表示高电平,0表示低电平,则是( )。 A. 正逻辑 B. 负逻辑 C. 正、负逻辑 D. 任意逻辑 5. 下逻辑图的逻辑表达式为( )。 A. AC BC AB Y = B. BC AC AB Y ++= C. BC AC AB Y ++= D. BC AC AB Y = 6. 三态门的逻辑值正确是指它有( )。 A. 1个 B. 2个 C. 3个 D. 4个 9. 组合逻辑电路在电路结构上的特点下列不正确的是( )。 A. 在结构上只能由各种门电路组成 B. 电路中不包含记忆(存储)元件 C. 有输入到输出的通路 D. 有输出到输入的反馈回路 10. 已知74LS138译码器的输入三个使能端(E 1=1,022==B A E E )时,地址码A 2A 1A 0=011,则输 出07~Y Y 为( )。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 三 、简答题(共15分,每题5分)

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

09期末试卷答案

诚信应考 考出水平 考出风格 浙江大学城市学院 2008 — 2009学年第 二 学期期末考试试卷 《 计算机组成 》 开课单位: 计算分院 ;考试形式:闭卷;考试时间:2009_年_6_月_22日; 所需时间: 120 分钟 一.选择题 (本大题共_25_题,每题_1_分,共__25__分。) C 1 单级中断系统中,CPU 一旦响应中断,立即关闭_________标志,以防止本次中断服务结束前同级的其他中断源产生另一次中断而被干扰。 A 中断允许 B 中断请求 C 中断屏蔽 D DMA 请求 A 2 交叉存储器实质上是一种多模块存储器,它用 ________方式执行多个独立的读写操作。 A 流水 B 资源重复 C 顺序 D 资源共享 A 3 中断处理过程中,_______ 项是由硬件完成。 A 关中断 B 开中断 C 保存CPU 现场 D 恢复CPU 现场 B 4 为了便于实现多级中断,保存现场信息最有效的办法是采用_______。 A 通用寄存器 B 堆栈 C 存储器 D 外存 D 5 双端口存储器所以能进行高速读/写操作,是因为采用_______。 A 高速芯片 B 新型器件 C 流水技术 D 两套相互独立的读写电路 C 6 单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数以外,另一个数常需采用_______。

A 堆栈寻址方式 B 立即寻址方式 C 隐含寻址方式 D 间接寻址方式 B 7 微程序控制器中,机器指令与微指令的关系是_______。 A 每一条机器指令由一条微指令来执行 B 每一条机器指令由一段用微指令编成的微程序来解释执行 C 一段机器指令组成的程序可由一条微指令来执行 D 一条微指令由若干条机器指令组成 A 8 某寄存器中的内容为指令码,只有CPU的_______才能识别它。 A 指令译码器 B 判断程序 C 微指令 D 时序信号 C 9 采用DMA方式传送数据时,每传送一个数据,就要占用一个_______ 的时间。 A 指令周期 B 机器周期 C 存储周期 D 总线周期 A 10 CPU响应中断请求的条件之一是______。 A 一条指令执行结束 B 一次 I/O 操作结束 C 机器内部发生故障 D 一次DMA 操作结束 B 11 直接映射cache的主要优点是实现简单。这种方式的主要缺点是_______。 A 它比其他cache映射方式价格更贵 B 如果使用中的2个或多个块映射到cache同一行,命中率则下降 C 它的存取时间大于其它cache映射方式 D cache中的块数随着主存容量增大而线性增加 C 12 CPU中指示下一条指令地址的寄存器是_______。 A 地址寄存器 B 指令计数器 C 程序计数器 D 指令寄存器 A 13 从信息流的传输速度来看,_______系统工作效率最低。 A 单总线 B 双总线 C 三总线 D 多总线 C 14 以下四种类型指令中,执行时间最长的是______。 A. RR型指令 B. RS型指令 C. SS型指令 D. 程序控制指令 C 15 用于对保存在某个寄存器中的操作数进行操作的寻址方式是______。 A 直接 B 间接 C 寄存器直接 D 寄存器间接 D 16算术运算指令的功能是______。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送 C 进行CPU和I/O设备之间的数据传送 D 进行算术运算 C 17 指令周期是指______。

《数字逻辑》期末考试A卷参考答案

《数字逻辑》期末考试 A 卷参考答案 、判断题:下面描述正确的打’/,错误的打‘X’(每小题1分,共10 分) 1、为了表示104个信息,需7位二进制编码[V ] 2、BCD码能表示0至15之间的任意整数[X ] 3、余3码是有权码[X ] 4、2421码是无权码[X ] 5、二值数字逻辑中变量只能取值 6、计算机主机与鼠标是并行通信 7、计算机主机与键盘是串行通信8、占空比等于脉冲宽度除于周期0和1,且表示数的大小[X ] [X ] [V ] [V ] 9、上升时间和下降时间越长,器件速度越慢[V ] 10、卡诺图可用来化简任意个变量的逻辑表达式[X ] 、写出图中电路的逻辑函数表达式。(每小题5分,共10分) 1、F=A B 2、F= AB CD 2分,共20分)

1、在图示电路中.能实现逻辑功能F = ATH 的电路是 A ° TTL 电路 (A) F = ABCD (B) F = AH ? CD - (C) F= A + B + C + D (D) F = A + B ? C + D (E) F= A BCD 4 . 己知F 二ABC + CD ■可以肯定使F = 0的情况是 _° (A) A=0, BC= 1; (B) B= 1 , C= 1 } (C) AB= 1, CD=Q. (D) BC= 1 , D= 1 5、逻辑函数A B+BCD+A C+ B C 可化简为A,B,C,D 。 (A) AB + AC + BC (B) AB + C (A4-B) (C) AB + CAB A — O ? =1 1 Q A |— I 1 F ?- & 1 Q A B L I l — (B) 悬0 ---- 空 。— A — & Bo — Co- & B A 3 ?满足如图所示电路的输岀函数F 的表达式为丄3 B 1 o — V OF

2018~2019数字逻辑设计期末考题

2018~2019 数字逻辑设计期末考题 回忆 by liuxilai && 18~19年选课同学 一、简答题(6×5=30分) 1、非确定组合逻辑优化中的蕴含项、质蕴含项和实质蕴含项 2、简述SR、D、JK、D触发器的功能 3、建立时间(setup time)和保持时间的概念,并说明如何确保同步时序逻辑电路正常的工作 4、请简述摩尔机、米利机和同步米利机的概念,并说明如何将前两者转换为后者 5、状态等价的两个含义 6、状态分配的五个原则 二、组合逻辑(2×10=20分) 1、用卡诺图化简以下函数,画卡诺图,并写出蕴含项与实质蕴含项(SOP) F(A,B,C,D)=m(0,2,8,9,10,14)+d(3,4,5) 2、用QM算法化简以下函数 F(A,B,C,D)=m(0,1,2,5,6,7,8,9,10,14)+d(12) 三、时序逻辑(15×2=20) 1、用163计数器设计从1~24计数的计数器,输入为时钟信号CLK,,使能信号En,输出为进位ECO与h[4:0]。 2、使用蕴含表法化简以下状态表 四、状态机设计(30分) 1(10分)、用verilog语言,设计识别串行同步输入序列为1的同步米利机,初始状态复位时输出为0,当1的个数被3整除时输出为1. 2、(20分)米利机,当输入序列中出现011或101时,输出z0有效,序列可重叠。

1(10分)、给出以下状态图,补充完整(图中状态内的编码与输入序列无关)。 2)使用D触发器与最少的NAND与非门,根据上面的状态分配设计电路 (2分)画出二进制状态表 (8分)触发器激励输入与电路输出的卡诺图化简,并写出化简后的函数。

09年数字逻辑期末试卷(A卷)试题及答案

09年数字逻辑期末试卷(A卷)试题及答案

华东师范大学期末试卷(A ) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑______ 学生姓名:___________________ 学 号:___________________ 专 业:___________________ 年级/班级:__________________ 课程性质:公共必修、公共选修、专业必修、专业选修 ………………………………………………………………………………………… 一、填空题 (20分,每空2分) 1. (34.5)10 = ( (1) 11 0100.0101 )8421BCD = ( (2) 100010.1 )2 = ( (3) 2 2.8 )16 。 2. ()Y A B C CD =++的对偶式为___(4)Y ’A C B C A D ''''''=++ 。 3. 在数字系统中,要实现线与功能可选用___(5)OC/OD 门;要实现总线结构可选用___(6)传输 门。 4. 化简F (A,B,C,D )=∑m(3,5,6,7,10)+d (0,1,2,4,8)可得 (7) F =A ’+B ’D ’ 。 5. 已知某左移寄存器,现态为011001,若空位补0,则次态为 (8)110010 。 6. 二进制数(- 10110)2的反码和补码分别为 (9)101001 和 (10)101010 。

二、选择题(20分,每题2分) 1.在下列逻辑部件中.不属于组合逻辑部件的是 D 。 A.译码器 B.编码器 C.全加器 D.寄存器 2.逻辑表达式A+BC = B 。 A.A+C B.(A+B)(A+ C) C.A+B+ABC D.B+C 3.能得出X=Y的是 C A.X+Z=Y+Z B.XZ=YZ C. X+Z=Y+Z且XZ=YZ D.以上都不能 4.为将D触发器转换为T触发器,图中所示电路的虚框内应是 _ A_。 A.同或门B.异或门 C.与非 门D.或非门 5.设A1、A2、A3为三个信号,则逻辑函数 C 能检测出这三个信号中 是否含有奇数个高电平。 A.A1A2A3 B.A1+A2+A3 C.A1⊕A2⊕A3 D.A1+A2A3 6.以下说法正确的是 C A.TTL门电路和CMOS门电路的输入端都可以悬空

2009-2010flash期末考试试题

衡阳市职业中专2014年上学期 高一年级《flash》期中考试试题 班级:姓名: ____________ 分数: 一、单项选择题:每题2分。 1.下面哪个面板可以设置舞台背景? A对齐面板B颜色面板C动作面板D属性面板2.对齐面板不显示时,应在哪个菜单中找命令让它显示? A视图B窗口C编辑D帮助 3.不修改时间轴,对下列哪个参数进行改动可以让动画播放的速度更快些? Aalpha值B帧频C填充色D边框色 4.要把对象完全居中于整个舞台,应用到哪个面板? A库面板B属性面板C对齐面板D动作面板5.把矩形变为三角形,应用下边哪个工具最方便? A选择工具B任意变形工具C套索工具D手形工具6.下列哪个工具可以把当前颜色设为舞台上某个特定的图形的颜色? A滴管工具B选择工具C刷子工具D放大镜工具7.画圆形时,先选取椭圆工具,同时按下下边哪个键? ActrlBaltCshiftDdelete 8.为让两个不同的图形的宽度完全一样,应选用以下哪个操作最方便? A用任意变形工具改动其中一个图形的宽度 B用对齐面板的“匹配宽度” C删除其中一个图形,再画一个 D以上操作都不可能达到目的 9.画地球绕太阳转时,应该用到哪种类型的图层较为方便? A遮罩层B运动引导层C普通层D哪个层都可以10.仅进行下边两个操作:在第一帧画一个月亮,第10处按下F6键,则第5帧上显示的内容是? A一个月亮B空白没啥东西C不能确定D有图形,但不是月亮11.元件与导入到动画中的图片文件,一般存储在哪个面板上? A属性面板B滤镜面板C对齐面板D库面板12.下边哪个面板可以设置文本的大小? A对齐面板B库面板C属性面板D动作面板13.下面哪个面板可以用来旋转图形? A变形面板B对齐面板C属性面板D参数面板14.给图形加渐变效果,应该用到哪个面板? A颜色面板B对齐面板C属性面板D参数面板15.如果想把一段较复杂的动画做成元件,可以先发布这段动画,然后把它导入到库中,成为一个元件。这个元件是哪种类型的元件? A图形元件B按钮元件C影片剪辑元件D哪一种都可以

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

《计量经济学》期末试卷09-10(1)1

第一学期期末考试试卷 《计量经济学》试卷 一、单项选择题(1分×20题=20分) 1.在回归分析中下列有关解释变量和被解释变量的说法中正确的是(c ) A. 被解释变量和解释变量均为随机变量 B. 被解释变量和解释变量均为非随机变量 C. 被解释变量为随机变量,解释变量为非随机变量 D. 被解释变量为非随机变量,解释变量为随机变量 2. 下面哪一个必定是错误的(a )。 A. 8.02.030^ =+=XY i r X Y B. 91.05.175^ =+=XY i r X Y C. 78.01.25^=-=XY i r X Y D. 96.05.312^ -=--=XY i r X Y 3.判断模型参数估计量的符号、大小、相互之间关系的合理性属于(b )准则。 A.计量经济 B.经济理论 C.统计 D.统计和经济理论 4. 判定系数r 2=0.8,说明回归直线能解释被解释变量总变差的:( a ) A. 80% B. 64% C. 20% D. 89% 5.下图中“{”所指的距离是(b ) A. 随机误差项 B. 残差 C. i Y 的离差 D. i Y ?的离差 X Y 10???ββ+= Y i Y X

6. 已知DW 统计量的值接近于2,则样本回归模型残差的一阶自相关系数ρ? 近似等于(a )。 A.0 B. -1 C.1 D. 0.5 7.已知含有截距项的三元线性回归模型估计的残差平方和为800e 2t =∑,估计用 样本容量为n=24,则随机误差项t ε的方差估计量为(b )。 A.33.3 B.40 C.38.09 D.36.36 8.反映由模型中解释变量所解释的那部分离差大小的是(b )。 A.总体平方和 B.回归平方和 C.残差平方和 D.离差和 9. 某企业的生产决策是由模型t t t u P S ++=10ββ描述(其中t S 为产量,t P 为价格),又知:如果该企业在1-t 期生产过剩,决策者会削减t 期的产量。由此判断上述模型存在(b )。 A. 异方差问题 B. 序列相关问题 C. 多重共线性问题 D. 随机解释变量问题 10.产量(X ,台)与单位产品成本(Y ,元/台)之间的回归方程为5X .1356Y ?-=,这说明(d )。 A.产量每增加一台,单位产品成本增加356元 B.产量每增加一台,单位产品成本减少1.5元 C.产量每增加一台,单位产品成本平均增加356元 D.产量每增加一台,单位产品成本平均减少1.5元 11.回归模型25,1i ,X Y i i 10i =++=εββ,中,总体方差未知,检验0 :H 10=β时,所用的检验统计量) ?(S ?11 1βββ-服从(d )。 A.)2n (2 -χ B. )1n (t - C. )1n (2-χ D. )2n (t - 12.线性回归模型的参数估计量β?是随机变量i Y 的函数,即Y X )X X (?1''=-β。所以β?是(a )。

数字逻辑考题及答案

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 1 1 1 1 0 1 0 1 1 1 1 1 8分 2、分析以下电路,其中X 为控制端,说明电路功能。(10分)

数字逻辑期末试题及答案

西 安 金 戈 技 术 学 校 2008年秋季学期期末考试数字逻辑电路试卷 注意事项 1. 考试时间:120 分钟。 2. 本卷依据2005年颁布的《技工类院校考试要求》命制。 3. 请首先按要求在试卷的规定位置填写您的姓名、准考证号和所在班级。 4. 请仔细阅读各种题目的回答要求,在规定的位置填写您的答案。 5. 不要在试卷上乱写乱画,不要在试卷上填写无关的内容。 一. 判断: 1.数字电路抗干扰能力强的原因是只传递1和0的数字信息.. ( ) 2.BCD 码就是8421BCD 码. ( ) 3.卡诺图是真值表示的另一种形式,具有唯一性. ( ) 4.二极管可组成与门电路,但不能组成或门电路. ( ) 5.三极管非门电路中的三极管主要工作在放大状态. ( ) 6.组合逻辑电路中有具有记忆功能的逻辑部件. ( ) 7.译码是编码的逆过程. ( ) 8.触发器也称为半导体存贮单元. ( ) 9.同步触发器存在空翻现象,而边沿型触发器和主从型触发器克服了空翻. 10.JK 触发器的JK 端相当KS 触发器的SR 端. ( ) 二.选择: 1.若编码器编码输出位数为4位,则最多可对( )个输入信号编码. A.4 B.6 C.16 D.32 2.16选1数据选择器其地址输入至少应有( )位. A.2 B.4 C.8 D.16 3.半加器有( ),全加器有( ) A.2个输入端,2个输出端 B.2个输入端,3个输出端 C.3个输入端,2个输出端 D.3个输入端,3个输出端 4.二极管可组成的电路( ) A.只能是与门 B.只能是或门 C.与门和或门都可以 D.非门 5.通常能实现“线与”功能的门电路是( ) A.OC 门 BTSL.门 C.TTL 与门 6.BCD 码是( ) A.二进制码 B.十进制码 C.二一十进制码 7.下列逻辑函数表示方法中,具有唯一性的是(多选)( ) A.真值表 B.逻辑表达式 C.逻辑图 D.卡诺图 8.下列选项中不属于卡诺图特点的是( ) … … ……… ……考……………生… ……… …答……………题……………不……………准……………超……………过……………此……………线…………………

相关文档 最新文档