文档库 最新最全的文档下载
当前位置:文档库 › 杭电_计算机组成原理_包建_课后作业答案

杭电_计算机组成原理_包建_课后作业答案

杭电_计算机组成原理_包建_课后作业答案
杭电_计算机组成原理_包建_课后作业答案

《运算器》

P61 3.4写出下列各机器数的二进制真值X:

(1)[X]补=0,1001 X=1001

(2)[X]补=1,1001 X=-111

(3)[X]原=0,1101 X=1101

(4)[X]原=1,1101 X=-1101

(5)[X]反=0,1011 X=1011

(6)[X]反=1,1011 X=-100

(7)[X]移=0,1001 X=-111

(8)[X]移=1,1001 X=+1001

(9)[X]补=1,0000000 X=-10000000B,X=-128

(10)[X]反=1,0000000 X=-1111111B,X=-127

(11)[X]原=1,0000000 X=-0

(12)[X]移=1,0000000 X=0

P107 4.1X=0.1101 Y=-0.0110(设机器数长度为8位)[X]补=0.1101000

[-X]补=1.0011000

[2X]补=溢出

[-2X]补=溢出

[X/2]补=0.0110100

[-X/2]补=1.1001100

[Y]补=1.1010000

[-Y]补=0.0110000

[2Y]补=1.0100000

[-2Y]补=0.1100000

[Y/2]补=1.1101000

[-Y/2]补=0.0011000

[-Y/4]补=0.0001100

P61:5、

(1) X=-25/64=-0.011001B ,Y=2.875=10.111B

[X]浮=0,11111 1.11001=7F9H [Y]浮=1,00010 0.10111=897H (2) [Z]浮=9F4H=1,00111 1.10100=-80

P61:6、机器数字长16位 (1) 无符号整数:12

~016

-

(2) 原码表示的定点整数:1,111…11~0,111…11即12~)12(1515--- (3) 补码表示的定点整数:1,00…000~0,111…11即12~2

1515

--

(4) 补码表示的定点小数:1.00…000~0.111…11即15

21~1---

(5) 非规格化浮点数:E

R M N ?=

最大数=1

2

77

2)21(--?-

最小数=1

272

1-?-

最大负数=7

27

22

--?-

最小正数=7

27

22

--?

(6) 最大数=1

2

77

2)21(--?-

最小数=1

272

1-?-

最大负数=7

27

1

2

)22

(---?+-

最小正数=7

21

2

2--?

P107:2、

(1)(2)

P108:4-1

4-2

P108:5

5-1补码BOOTH算法

5-2补码BOOTH算法

P108:6、

6-1原码恢复余数算法

6-2原码加减交替算法

P108 7-1补码加减交替算法

7-2补码加减交替算法

P108:8-1

(1)X=-1.625=-1.101B Y=5.25=101.01B X+Y

X-Y:

1、对阶同上

2、尾数相减:11.1100110

+ 11.01011

[E X-Y]补= 11.0010010

3、结果不需规格化

4、舍入处理:[E X-Y]补=1.00101

[X-Y] = 0,0011 1,00101

X=0.2344 = 0.00111 Y= -0.1133=-0.00011

X-Y:

1、对阶同上

2、尾数相减:00.11100

+ 00.01100

[E X-Y]补= 01.01000 发生正溢

尾数右移,阶码加1

[E X-Y]补= 0.10100

[M x-y] = 1.1111

3、结果不需规格化

4、舍入处理:[E X-Y]补=0.10100

[X-Y] = 1,1111 0,10100

(1)X=5.25=101.01B Y=-1.625=-1.101B X*Y

9-1 X/Y:

9-2 X*Y

9-2 X/Y

《存储体系》

P225:2、 (1) 片6416411616

64=?=??K K

(2) s ms

μ625.15128

2=

(3) s ns μ64500128=? P225:3、

(1) 最大主存容量=bit 16218

?

(2) 共需

片6416416416218=?=??bit

K bit

芯片;若采用异步刷新,则刷新信号的周期为s ms

μ625.15128

2= (3) 每块8字节,则Cache 容量为

行925128162==?Byte

bit

K ,即c=9,Cache 采用2路

组相联映射,则r=1。主存容量为块161828162=?Byte

bit

,即m=16。每块包含4个字,

每字包含2个字节。

标记行0标记行11

标记行21

标记行3

28

-1

标记

行29

-2

标记

行29 -1

Cache Cache

主存01 (2)

8

主存字块标记

组地址

块内字地

8

8

2

主存字地址

16

主存字块标记

组地址

块内字地

8

8

2

主存字节地址

16

字内字节地址

1

主存字地址=462EH=00 0100 01,10 0010 11,10;因此该字映射到Cache 的第139组。 (4) 分析地址:

A 15A 14A 13A 12A 11A 10A 9A 8A 7A 6A 5A 4A 3A

2

A 1A 0最小128K RAM

000

0000000000000

11

1

1

1

1

1

1

1

1

1

1

1

1

1

11

1

1

1

1

1

1

1

1

1

1

1

1

1110000000000000… …… …

… …A 17A 1600最高端8K ROM

1

1

111

1

1

1保留区

128K 的RAM 区由

片162881616128=?=??bit

K bit

K SRAM 芯片构成;分为8组,组与组之间

进行字扩展;每个组内有2片进行位扩展。

计算机组成原理 作业三

计算机组成原理作业三 1.设机器数字长为8位(含1位符号位在内),写出对应下列各真值的原码、补码和反码。 -13/64,29/128 2.设机器字长为8位(含1位符号位),用补码运算规则计算下列各题。 (1)A=9/64,B=-13/32,求A+B; 解: (1)A=9/64=(0.001 0010)2 B= -13/32=(-0.011 0100)2 [A]补=0.001 0010 [B]补=1.100 1100 [A+B]补= 0. 0 0 1 0 0 1 0 + 1. 1 0 0 1 1 0 0 1. 1 0 1 1 1 1 0 ——无溢出 A+B=(-0.010 0010)2 = -17/64 (2)A=19/32,B=-17/128,求A-B; 解: (2)A=19/32=(0.100 1100)2 B= -17/128=(-0.001 0001)2 [A]补=0.100 1100 [B]补=1.110 1111 [-B]补=0.001 0001 [A-B]补= 0. 1 0 0 1 1 0 0 + 0. 0 0 1 0 0 0 1 0. 1 0 1 1 1 0 1 ——无溢出 A-B=(0.101 1101)2 = 93/128 3. 试比较基址寻址和变址寻址。 答:基址寻址需设有基址寄存器BR,其操作数的有效地址EA等于指令字中的形式地址与基址寄存器中的内容(称作基地址)相加。即EA=A+(BR) 变址寻址有效地址EA等于指令字中的形式地址A与变址寄存器IX的内容相加之和。即EA=A+(IX) 变址寻址与基址寻址的有效地址形成过程极为相似。 两者的不同: 基址寻址主要用于为程序或数据分配存储空间,故基址寄存器的内容通常由操作系统或管理程序确定,而指令字中的A是可变的。 在变址寻址中,变址寄存器的内容是由用户设定的,在程序执行过程中其值可变,而指令字中的A是不可变的。变址寻址主要用于处理数组问题,在数组处理过程中,可设定A为数组的首地址,不断改变变址寄存器IX的内容,便可很容易形成数组中任一数据的地址,特别适合编制循环程序。 4.什么是中断?设计中断时需考虑哪些问题? 答:当主机正在繁忙地处理它的具体事务时,某个外设向主机提出需要临时处理问题的请求,于是主机响应外设请求暂时中断正在执行的程序,转去处理外部事件,处理完后再返回到被中断程序的断点处继续执行原程序的过程,称为中断。

计算机组成原理考试题库

计算机原理考试题库 一、选择题 1、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 2、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 3、完整的计算机系统应包括D。 A、运算器、存储器、控制器 B、外部设备和主机 C、主机和实用程序 D、配套的硬件设备和软件系统 4、计算机存储数据的基本单位为A。 A、比特Bit B、字节Byte C、字组Word D、以上都不对 5、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 6、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 7、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 8、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 9、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 10、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 11、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 12、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 13、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 14、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 15、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 16、存放欲执行指令的寄存器是D。 A、MAE B、PC C、MDR D、IR 17、用以指定待执行指令所在地址的是C。

计算机组成原理课后习题答案解析

作业解答 第一章作业解答 1.1 基本的软件系统包括哪些内容? 答:基本的软件系统包括系统软件与应用软件两大类。 系统软件是一组保证计算机系统高效、正确运行的基础软件,通常作为系统资源提供给用户使用。包括:操作系统、语言处理程序、数据库管理系统、分布式软件系统、网络软件系统、各种服务程序等。 1.2 计算机硬件系统由哪些基本部件组成?它们的主要功能是什么? 答:计算机的硬件系统通常由输入设备、输出设备、运算器、存储器和控制器等五大部件组成。 输入设备的主要功能是将程序和数据以机器所能识别和接受的信息形式输入到计算机内。 输出设备的主要功能是将计算机处理的结果以人们所能接受的信息形式或其它系统所要求的信息形式输出。 存储器的主要功能是存储信息,用于存放程序和数据。 运算器的主要功能是对数据进行加工处理,完成算术运算和逻辑运算。 控制器的主要功能是按事先安排好的解题步骤,控制计算机各个部件有条不紊地自动工作。 1.3 冯·诺依曼计算机的基本思想是什么?什么叫存储程序方式? 答:冯·诺依曼计算机的基本思想包含三个方面: 1) 计算机由输入设备、输出设备、运算器、存储器和控制器五大部件组成。 2) 采用二进制形式表示数据和指令。 3) 采用存储程序方式。 存储程序是指在用计算机解题之前,事先编制好程序,并连同所需的数据预先存入主存储器中。在解题

过程(运行程序)中,由控制器按照事先编好并存入存储器中的程序自动地、连续地从存储器中依次取出指令并执行,直到获得所要求的结果为止。 1.4 早期计算机组织结构有什么特点?现代计算机结构为什么以存储器为中心? 答:早期计算机组织结构的特点是:以运算器为中心的,其它部件都通过运算器完成信息的传递。 随着微电子技术的进步,人们将运算器和控制器两个主要功能部件合二为一,集成到一个芯片里构成了微处理器。同时随着半导体存储器代替磁芯存储器,存储容量成倍地扩大,加上需要计算机处理、加工的信息量与日俱增,以运算器为中心的结构已不能满足计算机发展的需求,甚至会影响计算机的性能。为了适应发展的需要,现代计算机组织结构逐步转变为以存储器为中心。 1.5 什么叫总线?总线的主要特点是什么?采用总线有哪些好处? 答:总线是一组可为多个功能部件共享的公共信息传送线路。 总线的主要特点是共享总线的各个部件可同时接收总线上的信息,但必须分时使用总线发送信息,以保证总线上信息每时每刻都是唯一的、不至于冲突。 使用总线实现部件互连的好处: ①可以减少各个部件之间的连线数量,降低成本; ②便于系统构建、扩充系统性能、便于产品更新换代。 1.6 按其任务分,总线有哪几种类型?它们的主要作用是什么? 答:按总线完成的任务,可把总线分为:CPU内部总线、部件内总线、系统总线、外总线。 1.7 计算机的主要特点是什么? 答:计算机的主要特点有:①能自动连续地工作;②运算速度快;③运算精度高;④具有很强的存储能力

计算机组成原理3-7章作业答案

第3章习题参考答案 2、已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位的DRAM 芯片组成该机所允许的最大主存空间,并选用存条结构形式,问; (1) 若每个存条为16M ×64位,共需几个存条? (2) 每个存条共有多少DRAM 芯片? (3) 主存共需多少DRAM 芯片? CPU 如何选择各存条? 解: (1) 共需 条464 1664 226=??M 存条 (2) 每个存条共有 328 464 16=??M M 个芯片 (3) 主存共需多少 1288 464 648464226=??=??M M M 个RAM 芯片, 共有4个存条,故CPU 选择存条用最高两位地址A 24 和A 25通 过2:4译码器实现;其余的24根地址线用于存条部单元的选择。 3、用16K ×8位的DRAM 芯片构成64K ×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为0.5μS ,CPU 在1μS 至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解: (1) 用16K ×8位的DRAM 芯片构成64K ×32位存储器,需要用 16448 1632 64=?=??K K 个芯片,其中每4片为一组构成16K ×32 位——进行字长位数扩展(一组的4个芯片只有数据信号线不互连——分别接D 0~D 7、D 8~D 15、D 16~D 23和D 24~D 31,其余同名引脚互连),需要低14位地址(A 0~A 13)作为模块各个芯片的部单元地址——分成行、列地址两次由A 0~A 6引脚输入;然后再由4组进行存储器容量扩展,用高两位地址A 14、A 15通过2:4译码器实现4组中选择一组。画出逻辑框图如下。 (2) 设刷新周期为2ms ,并设16K ?8位的DRAM 结构是128?128?8存储阵列,则对所有单元全部刷新一遍需要128次(每次刷新

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

《计算机组成原理A》形考作业二答案

计算机组成原理A形成性考核作业二(参考答案) 一、选择题: 1.计算机硬件能直接识别和运行的只能是_______程序。 A.机器语言B.汇编语言C.高级语言D.VHDL 答:A 2.指令中用到的数据可以来自_______(可多选)。 A.通用寄存器B.微程序存储器C.输入输出接口D.指令寄存器 E. 内存单元 F. 磁盘 答:A、C、E 3.汇编语言要经过_______的翻译才能在计算机中执行。 A.编译程序B.数据库管理程序C.汇编程序D.文字处理程序 答:C 4.在设计指令操作码时要做到_______(可多选)。 A.能区别一套指令系统中的所有指令 B.能表明操作数的地址 C.长度随意确定 D.长度适当规范统一 答:A、B、D 5.控制器的功能是_______。 A.向计算机各部件提供控制信号B.执行语言翻译 C.支持汇编程序D.完成数据运算 答:A 6.从资源利用率和性能价格比考虑,指令流水线方案_______,多指令周期方案_______,单指令周期方案_______。 A.最好B.次之C.最不可取D.都差不多 答:A、B、C 二、判断题:判断下列说法是否正确,并说明理由。 1.变址寻址需要在指令中提供一个寄存器编号和一个数值。√ 2.计算机的指令越多,功能越强越好。× 3.程序计数器PC主要用于解决指令的执行次序。√ 4.微程序控制器的运行速度一般要比硬连线控制器更快。× 三、简答题: 1.一条指令通常由哪两个部分组成?指令的操作码一般有哪几种组织方式?各自应用在什么场合?各自的优缺点是什么? 答:一条指令通常由操作码和操作数两个部分组成。 指令的操作码一般有定长的操作码、变长的操作码两种组织方式。 定长操作码的组织方式应用在当前多数的计算机中;变长的操作码组织方式一般用在小型及以上的计算机当中。 定长操作码的组织方式对于简化计算机硬件设计,提高指令译码和识别速度有利。 变长的操作码组织方式可以在比较短的指令字中,既能表示出比较多的指令条数,又能尽量满足给出相应的操作数地址的要求。 2.如何在指令中表示操作数的地址?通常使用哪些基本寻址方式? 答:是通过寻址方式来表示操作数的地址。 通常使用的基本寻址方式有:立即数寻址、直接寻址、寄存器寻址、寄存器间接寻址、变址寻址、相对寻址、间接寻址、堆栈寻址等。

计算机组成原理 作业三

《计算机组成原理》作业(三) 学完6-7章后可以完成作业(二)。作业总分100分,将作为平时成绩记入课程总成绩。 一、简答题(每题6分,共30分) 1、什么就是总线?总线传输有何特点?为了减轻总线负载,总线上的部件应具备什么 特点? 答:总线就是连接各个部件的信息传输线,就是各个部件共享的传输介质。总线 上信息传输的特点:某一时刻只允许有一个部件向总线发送信息, 但多个部件可以同时从总线上接收相同的信息。 以CPU片内总线为例,在每个需要将信息送至总线的寄存器输出端接三态门,由三态 门控制端控制什么时刻由哪个寄存器输出。当控制端无效时,寄存器与总线之间呈 高阻状态。 2、为什么要设置总线判优控制?常见的集中式总线控制有几种?各有何特点?哪种 方式响应时间最快?哪种方式对电路故障最敏感? 答:总线判优控制解决多个部件同时申请总线时的使用权分配问题; 常见的集中式总线控制有三种:链式查询、计数器定时查询、独立请求; 特点:链式查询方式连线简单,易于扩充,对电路故障最敏感;计数器定时查询方式 优先级设置较灵活,对故障不敏感,连线及控制过程较复杂;独立请求方式速度最快,但硬件器件用量大,连线多,成本较高。 3、简要说明程序中断接口中IM、IR、EI、RD、BS五个触发器的作用。 五个触发器的作用: 中断屏蔽触发器(IM):CPU就是否受理中断或批准中断的标志。Im标志为“0” 时,CPU 可受理外界中断请求。 中断请求触发器(IR):暂存中断请求线上由设备发出的中断请求信号,IR标志为“1” 时, 表示设备发出了中断请求。 允许中断触发器(EI):用程序指令来置位,控制就是否允许某设备发出中断请求。IE 为“1”时,某设备可以向CPU发出请求。 准备就绪的标志(RD):一旦设备做好一次数据的接收或发送,便发出一个设备动作完 毕信号,使RS标志为“1”。 工作触发器(BS):设备“忙”的标志。BS=1,表示启动设备工作

计算机组成原理试题库(含答案)

计算机组成原理试题 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 2.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C)。 A.64K B.32KB C.32K D.16KB 3.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C)。 A.21 B.17 C.19 D.20 4.指令系统中采用不同寻址方式的目的主要是(C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度

5.寄存器间接寻址方式中,操作数处在(B)。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 6.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路 C.复杂指令计算机 D.超大规模集成电路 7.CPU响应中断的时间是_C_____。 A.中断源提出请求;B.取指周期结束;C.执行周期结束;D.间址周期结束。8.常用的虚拟存储器寻址系统由____A__两级存储器组成。 A.主存-辅存;B.Cache-主存;C.Cache-辅存;D.主存—硬盘。 9.DMA访问主存时,让CPU处于等待状态,等DMA的一批数据访问结束后,CPU再恢复工作,这种情况称作__A____。 A.停止CPU访问主存;B.周期挪用;C.DMA与CPU交替访问;D.DMA。10.浮点数的表示范围和精度取决于__C____。 A.阶码的位数和尾数的机器数形式;B.阶码的机器数形式和尾数的位数;

计算机组成原理第二版课后习题答案

第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解: 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 2. 如何理解计算机的层次结构? 答:计算机硬件、系统软件和应用软件构成了计算机系统的三个层次结构。 (1)硬件系统是最内层的,它是整个计算机系统的基础和核心。 (2)系统软件在硬件之外,为用户提供一个基本操作界面。 (3)应用软件在最外层,为用户提供解决具体问题的应用系统界面。 通常将硬件系统之外的其余层称为虚拟机。各层次之间关系密切,上层是下层的扩展,下层是上层的基础,各层次的划分不是绝对的。 3. 说明高级语言、汇编语言和机器语言的差别及其联系。 答:机器语言是计算机硬件能够直接识别的语言,汇编语言是机器语

言的符号表示,高级语言是面向算法的语言。高级语言编写的程序(源程序)处于最高层,必须翻译成汇编语言,再由汇编程序汇编成机器语言(目标程序)之后才能被执行。 4. 如何理解计算机组成和计算机体系结构? 答:计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,如指令系统、数据类型、寻址技术组成及I/O机理等。计算机组成是指如何实现计算机体系结构所体现的属性,包含对程序员透明的硬件细节,如组成计算机系统的各个功能部件的结构和功能,及相互连接方法等。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大 部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访 问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的 性质,地址码用来表示操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。

计算机组成原理试题库集及答案

计算机组成原理试题库集及答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; 指令和数据以同同等地位存放于存储器内,并可以按地址访问; 指令和数据均用二进制表示; 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置; 指令在存储器中顺序存放,通常自动顺序取出执行; 机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义:

计算机组成原理课后答案

… 第一章计算机系统概论 什么是计算机系统、计算机硬件和计算机软件硬件和软件哪个更重要 计算机系统:计算机硬件、软件和数据通信设备的物理或逻辑的综合体 计算机硬件:计算机的物理实体 计算机软件:计算机运行所需的程序及相关资料 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要 如何理解计算机系统的层次结构 实际机器M1向上延伸构成了各级虚拟机器,机器M1内部也可向下延伸而形成下一级的微程序机器M0,硬件研究的主要对象归结为传统机器M1和微程序机器M0,软件研究对象主要是操作系统及以上的各级虚拟机 》 说明高级语言、汇编语言和机器语言的差别及其联系。 机器语言是可以直接在机器上执行的二进制语言 汇编语言用符号表示指令或数据所在存储单元的地址,使程序员可以不再使用繁杂而又易错的二进制代码来编写程序 高级语言对问题的描述十分接近人们的习惯,并且还具有较强的通用性 如何理解计算机组成和计算机体系结构 计算机体系结构是对程序员可见的计算机系统的属性 计算机组成对程序员透明,如何实现计算机体系结构所体现的属性 冯·诺依曼计算机的特点是什么 。 由运算器、控制器、存储器、输入设备、输出设备五大部件组成 指令和数据以同一形式(二进制形式)存于存储器中 指令由操作码、地址码两大部分组成 指令在存储器中顺序存放,通常自动顺序取出执行 以运算器为中心(原始冯氏机) 画出计算机硬件组成框图,说明各部件的作用及计算机硬件的主要技术指标。 计算机硬件各部件 运算器:ACC, MQ, ALU, X ' 控制器:CU, IR, PC 主存储器:M, MDR, MAR I/O设备:设备,接口 计算机技术指标: 机器字长:一次能处理数据的位数,与CPU的寄存器位数有关 存储容量:主存:存储单元个数×存储字长 运算速度:MIPS, CPI, FLOPS 解释概念 & 主机:计算机硬件的主体部分,由 CPU+MM(主存或内存)组成 CPU:中央处理器,是计算机硬件的核心部件,由运算器+控制器组成 主存:计算机中存放正在运行的程序和数据的存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成

计算机组成原理A形考作业3参考解答

计算机组成原理A形考作业3参考解答 一、选择题 1.下列部件(设备)中,存取速度最快的是____B____。 A.光盘存储器 B.CPU的寄存器 C.软盘存储器 D.硬盘存储器 2.某SRAM芯片,其容量为1K×8位,加上电源端和接地端,该芯片引出线的最少数目应为___D___。 A.23 B.25 C.50 D.20 3.在主存和CPU之间增加Cache的目的是___C___。 A.扩大主存的容量 B.增加CPU中通用寄存器的数量 C.解决CPU和主存之间的速度匹配 D.代替CPU中的寄存器工作 4.在独立编址方式下,存储单元和I/O设备是靠___A___来区分的。 A.不同的地址和指令代码 B.不同的数据和指令代码 C.不同的数据和地址 D.不同的地址 5.随着CPU速度的不断提升,程序查询方式很少被采用的原因是__C____。 A.硬件结构复杂 B.硬件结构简单 C.CPU与外设串行工作 D.CPU与外设并行工作 6.在采用DMA方式的I/O系统中,其基本思想是在___B___之间建立直接的数据通路。 A.CPU与外设 B.主存与外设 C.CPU与主存 D.外设与外设 二、判断题判断下列说法是否正确,并说明理由。 1.CPU访问存储器的时间是由存储器的容量决定的,存储器容量越大,访问存储器所需的时间越长。 错。CPU访问存储器的时间不取决于存储器容量,而取决于存储器存取周期和存储器带宽。 2.引入虚拟存储系统的目的,是为了加快外存的存取速度。 错。引入虚拟存储系统的目的,是为了解决主存容量小、运行成本高的问题。 3.按主机与接口间的数据传送方式,输入/输出接口可分为串行接口和并行接口。 错。应该是按主机与外设间的数据传送方式,输入/输出接口可分为串行接口和并行接口。 4.DMA控制器通过中断向CPU发DMA请求信号。 错。应该是当结束数据传送时,DMA控制器通过中断向CPU发出请求信号。 三、简答题 1.在三级存储体系中,主存、外存和高速缓存各有什么作用?各有什么特点? 答:由主存、外存和高速缓存组成的三级存储体系是为了解决存储器的速度、容量的成本之间的矛盾。

计算机组成原理习题及答案54686word版本

计算机组成原理习题及答案54686

概论 一、选择题: 1.1946年研制成功的第一台电子数字计算机称为_B_。A.EDVAC B.ENIAC C.EVNAC D.EINAC 2.完整的计算机系统应包括__D_____.A..运算器、存储器、控制器 B.外部设备和主机 C.主机和存储器 D.配套的硬件和软件设备 3.计算机系统中的存储器系统是指__D____.A.RAM存储器 B.ROM存储器 C.内存储器 D.内存储器和外存储器 4.至今为止,计算机中的所有信息仍以二进制方式表示的理由是_C_____. A..节约元件 B.运算速度快 C.物理器件性能所致 D.信息处理方便 5.计算机硬件能直接执行的只有_B___. A.符号语言 B.机器语言 C.机器语言和汇编语言 D.汇编语言 二、填空题: 1.计算机的硬件包括__运算器_._控制器_._存储器_._输入设备_._输出设备__. 2.在计算机术语中,将运算器和控制器合在一起称为_CPU__,而将_CPU__和存储器合在一起称为__主机__. 3.计算机的软件一般分为两大类:一类叫_系统__软件,一类叫_应用__软件,其中,数据库管理系统属于_系统_软件,计算机辅助教学软件属于__应用___软件. 4.计算机系统中的存储器分为_内存储器_和_外存储器_.在CPU执行程序时,必须将指令存放在_内存储器__中. 5.输入、输出设备以及辅助存储器统称为_外部设备___. 6.计算机存储器的最小单位为__位___,1KB容量的存储器能够存储_1024*8__个这样的单位. 7.在计算机系统中,多个系统部件之间信息传送的公共通路称为__总线___,就其所传送的信息的性质而言,在公共通路上传送的信息包括_数据__、__地址__和__控制___信息. 三、衡量计算机性能的基本指标有哪些? 答:1.基本字长 2.数据通路宽度 3.运算速度:包括CPU时钟频率和数据传输率 4.存储器的容量:包括主存储器的容量和外存储器的容量 5.外围设备及其性能 6.系统软件配置运算方法和运算器 一、选择题: 1.在机器数中,__B____的零的表示形式是唯一的. A.原码 B.补码 C.反码 D.原码和反码 3.若某数X的真值为-0.1010,在计算机中该数表示为1.0110,则该数所用的编码方法__B__码. A.原 B.补 C.反 D.移 4.运算器虽有许多部件组成,但核心部分是__B____. A.数据总路线 B.算术逻辑运算单元 C.多路开关 D.通用寄存器 5.在定点二进制运算器中,减法运算一般通过__D_____来实现. A.原码运算的二进制减法器 B.补码运算的二进制减法器 C.补码运算的十进制加法器 D.补码运算的二进制加法器

电子科大《计算机组成原理》在线作业3.

14春13秋 13春电子科大《计算机组成 14春13秋 13春电子科大《计算机组成原理》在线作业3 1 2 试卷总分:100 测试时间:-- 单选题、单选题(共 25 道试题,共 100 分。) 1. 以下描述中基本概念不正确的是()。 A. PCI总线是层次总线 B. PCI总线采用异步时序协议和分布式仲裁策略 C. Futurebus+总线能支持64位地址 D. Futurebus+总线适合于高成本的较大规模计算机系统满分:4 分 2. 双端口 存储器所以能高速进行读写,是因为采用()。 A. 高速芯片 B. 两套相互独立的 读写电路 C. 流水技术 D. 新型器件满分:4 分 3. 在集中式总线仲裁中,()方式对电路故障最敏感。 A. 链式查询 B. 计数器定时查询 C. 独立请求满分:4 分 4. 存储单元是指()。 A. 存放一个机器字的所有存储元 B. 存放一个二进制信息位的存 储元 C. 存放一个字节的所有存储元的集合 D. 存放两个字节的所有存储元的集合 满分:4 分 5. 从信息流的传送效率来看,()工作效率最低。 A. 三总线系统 B. 单总线系统 C. 双总线系统 D. 多总线系统满分:4 分 6. 程序控制类指令的功能是()。 A. 进行算术运算和逻辑运算 B. 进行主存和CPU之间的数据传送 C. 进行CPU和I/O设备之间的数据传送 D. 改变程序执行的顺序满分:4 分 7. 带有处理器的设备一般称为()设备。 A. 智能化 B. 交互式 C. 远程通信 D. 过程控制满分:4 分 8. 至今为止,计算机中的所有信息仍以二进制方式表示的理由是()。 A. 节约元件 B. 运算速度快 C. 物理器件性能决定 D. 信息处理方便满分:4 分 9. 寄存器间接寻址方式中,操作数处在()。 A. 通用寄存器 B. 程序计数器 C. 堆栈 D. 主存 单元满分:4 分 10. 对计算机的产生有重要影响的是()。 A. 牛顿、维纳、图灵B. 莱布尼兹、布尔、图灵 C. 巴贝奇、维纳、麦克斯韦 D. 莱布尼兹、布尔、克雷 满分:4 分 11. 描述汇编语言特性的概念中,有错误的句子是()。 A. 对程序员 的训练要求来说,需要硬件知识 B. 汇编语言对机器的依赖性高 C. 用汇编语言编制程序的难度比高级语言小 D. 汇编语言编写的程序执行速度比高级语言快满分:4 分 12. 中断向量地址是()。 A. 子程序入口地址 B. 中断服务例行程序入口地址 C. 中断服务例行程序入口地址的指示器 D. 中断返回地址满分:4 分 13. 在微型机系 统中,外围设备通过()与主板的系统总线相连接。 A. 适配器 B. 设备控制器 C. 计数器 D. 寄存器满分:4 分 14. 某一SRAM芯片,其容量为512×8位,考

计算机组成原理_在线作业_2

计算机组成原理_在线作业_2 交卷时间:2016-06-11 14:27:15 一、单选题 1. (5分) 用于对某个寄存器中操作数的寻址方式称为()寻址。 ? A. 间接 ? B. 寄存器直接 ? C. 寄存器间接 ? D. 直接 纠错 得分:5 知识点:4.4 典型指令 展开解析 答案B 解析 2. (5分) 程序查询方式的接口电路中应该包括()。 ? A. 设备选择电路、设备控制电路、设备状态标志 ? B. 设备选择电路、数据缓冲寄存器、设备控制电路

? C. 设备选择电路、数据缓冲寄存器、设备状态标志 ? D. 设备控制电路、数据缓冲寄存器、设备状态标志 纠错 得分:0 知识点:8.2 程序查询方式 展开解析 答案C 解析 3. (5分) 1946年研制成功的第一台电子数字计算机称为(),1949年研制成功的第一台程序内存的计算机称为()。 ? A. ENIAC , UNIVACI ? B. EDVAC ,MARKI ? C. ENIAC , MARKI ? D. ENIAC , EDSAC 纠错 得分:5 知识点:1.1 计算机发展概述 展开解析 答案D 解析 4. (5分) 以下四种类型的半导体存储器中,以传输同样多的字为比较条件,则读出数据传输

率最高的是()。 ? A. 闪速存储器 ? B. SRAM ? C. EPROM ? D. DRAM 纠错 得分:5 知识点:3.4 高速存储器 展开解析 答案A 解析 5. (5分) 一个存储单元是指能够存放()的所有存储单元的集合。 ? A. 两个字节 ? B. 一个字节 ? C. 一个二进制信息位 ? D. 一个机器字 纠错 得分:5 知识点:1.3 计算机的基本组成 展开解析 答案D 解析 6.

计算机组成原理作业习题集

名词解释: 1、主机 2、CPU 3、主存 4、存储单元 5、存储元件 6、存储字 7、存储字长 8、存储容量 9、机器字长 10、指令字长 11、PC 12、IR 13、CU 14、ALU 15、ACC 16、MQ 17、MAR 18、MDR 19、I/O 20、MIPS

1、说明计算机更新换代的依据。 2、设想计算机的未来。

名词解释: 1、总线 2、系统总线 3、总线宽度 4、总线带宽 5、时钟同步/异步 6、总线复用 7、总线周期 8、总线的通信控制 9、同步通信 10、比特率 11、分散连接 12、总线连接 13、存储总线 14、I/O总线 15、片内总线 16、数据总线 17、地址总线 18、通信总线 19、串行通信 20、并行通信

1、什么是全相联映射? 2、什么是近期最少使用算法? 3、什么是EPROM? 4、CACHE的特点是什么? 5、什么是动态存储器刷新? 6、半导体动态RAM和静态RAM存储特点最主要的区别是什么? 7、计算机的存储器采用分级存储体系的主要目的是什么? 8、有一主存—CACHE层次的存储器,其主存容量1MB,CACHE容量是64KB,每块8KB,若采用直接映射方式,(1)写出主存的地址和CACHE 地址格式,(2)计算主存的地址各部分的位数。(3)主存地址为25301H 的单元在主存的那一块,映射到CACHE的那一块?

9、有一个组相联映像CACHE由64个存储块构成,每组包含4个存储块,主存包含4096个存储块,每块由128字节组成,(1)写出主存的地址和CACHE地址格式 (2)计算CACHE和主存地址各部分的位数。(3)主存地址为48AB9H的单元在主存的那一块,映射到CACHE的那一块? 10、现有8K×8位的ROM芯片和8K×4位的RAM芯片组成存储器,按字节编址,其中RAM的地址为2000H~5FFFH,ROM的地址为A000H~DFFFH,(1)写出需要几片芯片组成此存储器。(2)画出此存储器结构图及与CPU的连接图。

计算机组成原理题库

、下列描述中正确的是 A控制器能理解、解释并执行所有的指令及存储结果 B一台计算机包括输入、输出、控制、存储及算术逻辑运算五个部件 C所有的数据运算都在CPU的控制器中完成 D以上答案都正确 4、有一些计算机将一部分软件永恒的存于只读存储器中,称之为 A硬件 B软件 C固件 D辅助存储器 E以上都不对 5、输入、输出装置以及外接的辅助存储器称为() A操作系统 B存储器 C主机 D外围设备 7、完整的计算机系统应包括() A运算器、存储器、控制器 B外部设备和主机 C主机和实用程序 D配套的硬件设备和软件系统 8、计算机系统中的存储系统是指() A .RAM存储器存储器 C.主存 D.主存和辅存 19、计算机的算术逻辑单元和控制单元合称为() A. ALU B. UP C. CPU D. CAD 35、储存单元是指() A.存放一个字节的所有存储集合 B.存放一个储存字的所有存储集合 C.存放一个二进制信息的存储集合 D.存放一条指令的存储集合 36、存储字是指() A.存放在一个存储单元中的二进制代码组合 B.存放在一个存储单元中的二进制代码位数 C.存储单元的集合 D.机器指令 39、存放执行执行指令的寄存器是() 有些计算机将一部分软件永恒地存于只读存储器中,称为(A) 15.计算机将存储,算逻辑运算和控制三个部分合称为(A),再加上(B)和(C)就组成了计算机硬件系统。 目前被广泛使用的计算机是()

A.数字计算机 B.模拟计算机 C.数字模拟混合式计算机 D.特殊用途计算机 9.个人计算机(PC)属于()类计算机。 A.大型计算机 B.小型机 C.微型计算机 D.超级计算机、操作系统最早出现在第(A)代计算机上。 计算机使用总线结构便于增减外设,同时() A.减少了信息传输量 B.提高了信息的传输速度 C.减少了信息传输线的条数 2.计算机使用总线结构的主要优点是便于实现积木化,缺点是() A.地址信息,数据信息和控制信息不能同时出现 B.地址信息与数据信息不能同时出现 C.两种信息源的代码在总线中不能同时传送 5.在三中集合式总线控制中,()方式响应时间最快。 A.链式查询 B.计数器定时查询 C.独立请求 8.三种集合式总线控制中,()方式对电路故障最敏感的 A.链式查询 B.计数器定时查询 C.独立请求 13.在独立请求方式下,若有N个设备,则() A.有一个总线请求信号和一个总线响应信号 B.有N个总线请求信号和N个总线响应信号 C.有一个总线请求信号和N个总线响应信号 14.在链式查询方式下,若有N个设备,则() A.有N条总线请求线 B.无法确定有几条总线请求线 C.只有一条总线请求线

计算机组成原理_在线作业

计算机组成原理_在线作业_3 交卷时间:2016-06-11 14:32:52 一、单选题 1. (5分) 系统总线中控制线的功能是()。 A. 提供主存、I / O接口设备的响应信号 B. 提供数据信息 C. 提供主存、I / O接口设备的控制信号响应信号 D. 提供时序信号 纠错 得分: 0 知识点:总线的概念和结构形态 展开解析 答案C 解析 2. (5分) 双端口存储器在()情况下会发生读/写冲突。 A. 左端口与右端口的地址码不同 B. 左端口与右端口的数据码不同 C. 左端口与右端口的地址码相同 D. 左端口与右端口的数据码相同

纠错 得分: 5 知识点: CACHE存储器 展开解析 答案C 解析 3. (5分) 在计算机中字符采用()表示。 A. 原码 B. ASCII码 C. 补码 D. 反码 纠错 得分: 5 知识点:数据表示(数据与文字表示方法) 展开解析 答案B 解析 4. (5分) 某寄存器中的值有时是地址,因此只有计算机的()才能识别它。 A. 译码器 B. 指令 C. 判别程序 D. 时序信号 纠错

得分: 5 知识点:指令格式 展开解析 答案B 解析 5. (5分) 某计算机字长16位,它的存贮容量是64K,若按半字编址,那么它的寻址范围是()。 A. 32K B. 64KB C. 32KB D. 64K 纠错 得分: 0 知识点: RAM 展开解析 答案D 解析 6. (5分) CPU 中通用寄存器的位数取决于()。 A. 存储容量 B. 指令的长度 C. 机器字长 D. CPU的管脚数 纠错 得分: 5

知识点: CPU 的功能和组成 展开解析 答案C 解析 7. (5分) 中断向量地址是()。 A. 中断返回地址 B. 中断服务例行程序入口地址 C. 子程序入口地址 D. 中断服务例行程序入口地址的指示器纠错 得分: 0 知识点:程序中断方式 展开解析 答案D 解析 8. (5分) DRAM利用()作为一个存储元。 A. 触发器 B. MOS晶体管和电容 C. 储存器 D. MOS晶体管 纠错 得分: 5 知识点: ROM和 FLASH ROM

计算机组成原理 课后答案解析

第一章计算机系统概论p.19 1.1 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 计算机系统:计算机硬件、软件和数据通信设备的物理或逻辑的综合体 计算机硬件:计算机的物理实体 计算机软件:计算机运行所需的程序及相关资料 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要 1.2如何理解计算机系统的层次结构? 实际机器M1向上延伸构成了各级虚拟机器,机器M1内部也可向下延伸而形成下一级的微程序机器M0,硬件研究的主要对象归结为传统机器M1和微程序机器M0,软件研究对象主要是操作系统及以上的各级虚拟机 1.3说明高级语言、汇编语言和机器语言的差别及其联系。 机器语言是可以直接在机器上执行的二进制语言 汇编语言用符号表示指令或数据所在存储单元的地址,使程序员可以不再使用繁杂而又易错的二进制代码来编写程序 高级语言对问题的描述十分接近人们的习惯,并且还具有较强的通用性 1.4如何理解计算机组成和计算机体系结构? 计算机体系结构是对程序员可见的计算机系统的属性 计算机组成对程序员透明,如何实现计算机体系结构所体现的属性 1.5冯·诺依曼计算机的特点是什么? 由运算器、控制器、存储器、输入设备、输出设备五大部件组成 指令和数据以同一形式(二进制形式)存于存储器中 指令由操作码、地址码两大部分组成 指令在存储器中顺序存放,通常自动顺序取出执行 以运算器为中心(原始冯氏机) 1.6画出计算机硬件组成框图,说明各部件的作用及计算机硬件的主要技术指标。 计算机硬件各部件 运算器:ACC, MQ, ALU, X 控制器:CU, IR, PC 主存储器:M, MDR, MAR I/O设备:设备,接口 计算机技术指标: 机器字长:一次能处理数据的位数,与CPU的寄存器位数有关 存储容量:主存:存储单元个数×存储字长 运算速度:MIPS, CPI, FLOPS 1.7解释概念 主机:计算机硬件的主体部分,由CPU+MM(主存或内存)组成 CPU:中央处理器,是计算机硬件的核心部件,由运算器+控制器组成 主存:计算机中存放正在运行的程序和数据的存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成 存储单元:可存放一个机器字并具有特定存储地址的存储单位 存储元件/存储基元/存储元:存储一位二进制信息的物理元件,是存储器中最小的存储单位,不能单独存取 存储字:一个存储单元所存二进制代码的逻辑单位 存储字长:一个存储单元所存二进制代码的位数

相关文档
相关文档 最新文档