文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成原理实验参考答案(西南交大)

计算机组成原理实验参考答案(西南交大)

计算机组成原理实验参考答案(西南交大)
计算机组成原理实验参考答案(西南交大)

[原创]西南交通大学计算机组成实验参考答案注1、引脚分配依照EP3C40F780C8芯片

注2、一定要参照实验指导书阅读此文

实验一:多路数据选择器的设计

f=((~sel)a)+((sel)b)

当sel=0时,f=a,否则f=b。

引脚分配参考:

a[3:0] AH12 AF14 AA8 AB8

b[3:0] AF12 AG12 AA10 U8

f[3:0] E24 F22 E22 F21

sel: AC5

实验二基于原理图方式的3-8译码电路的设计

f0=(~en)+(~a)(~b)(~c)

f1=(~en)+(~a)(~b)c

f2=(~en)+(~a)b(~c)

f3=(~en)+(~a)bc

f4=(~en)+a(~b)(~c)

f5=(~en)+a(~b)c

f6=(~en)+ab(~c)

f7=(~en)+abc

en为使能端,低电平有效,高电平时输出全为1。

引脚分配参考:

a: AH12,b: AF14,c: AA8,en: AC5

f[7:0] F24 H24 H23 L23 L24 M24 J22 AE8

实验三四位加法器设计

实验思路:用Verilog HDL语言编写一位全加器,再用原理图方式用四个全加器组合实现四位加法器。

Adder.v

module Adder(a, b, cin, cout, sum);

input a, b, cin;

output cout, sum;

assign {cout, sum}=a+b+cin; endmodule

原理图:

{cout, sum}=a+b+cin

cin为进位输入,cout为进位输出。引脚分配参考:

a[3:0] AH12 AF14 AA8 AB8

b[3:0] AF12 AG12 AA10 U8

sum[3:0] E24 F22 E22 F21

cin: AC5,cout: F24

实验四:七段LED数码管显示译码器设计本实验使用Verilog HDL实现。

module Exp4(f, clk, rst, in, out, sel);

input [15:0]in; //输入

input f, clk, rst; //计数开关,时钟,置零开关 output reg[7:0]out; //数码管输出

output reg[2:0]sel; //数码管3-8译码器输出

reg[15:0]counter;

reg[3:0]data;

regclk_alt;

reg[9:0]l;

//change frequency 变频段

always @(posedgeclk)

begin

if(l>=1023)l=0;

else l=l+1;

clk_alt=l[2];

end

//select 选择在哪一个数码管显示

always @(posedgeclk)

begin

sel=sel+1;

if(sel>=4)sel=0;

case(sel)

0:data=counter[3:0];

1:data=counter[7:4];

2:data=counter[11:8];

3:data=counter[15:12];

endcase

end

//count and reset 计数和清零

always @(posedgeclk_alt or posedgerst)

begin

if (rst==1) counter=0;

else if (f==1) counter=in;

else counter=counter+1;

end

//translate 译码段,此处可以使用二进制或十六进制,后面的实验同,不再赘述。

always

case(data)

0:out=63;

1:out=6;

2:out=91;

3:out=79;

4:out=102;

5:out=109;

6:out=125;

7:out=7;

8:out=127;

9:out=111;

10:out=119;

11:out=124;

12:out=57;

13:out=94;

14:out=121;

15:out=113;

endcase

endmodule

引脚分配参考:

in[15:0] AH12 AF14 AA8 AB8 AF12 AG12 AA10 U8 AF5 AH6 AH7 AH8 AH14 AG7 AG8 AF9

out[7:0] M21 G12 G14 G15 G18 F18 G17 G16

sel[2:0] G9 D22 C22

clk: A14,f: AC5,rst: AD4

实验五:算数逻辑单元(ALU)设计

module Exp5(s, cin, d, wt, sel, out, slt, clk);

input [2:0]s; //功能开关

input sel, cin, wt, clk; //选择输入开关,进位输入,写入开关,时钟

input [15:0]d; //输入

output reg [7:0]out; //数码管输出

output reg [2:0]slt; //数码管3-8译码器输出

reg [15:0]a; //暂存器a

reg [15:0]b; //暂存器b

reg [15:0]result; //计算结果

reg [3:0]outdata;

regcout;

//选择显示的数码管

always @ (posedgeclk)

begin

slt=slt+1;

if(slt>=5)slt=0;

case(slt)

0:outdata=cout;

1:outdata=result[15:12]; 2:outdata=result[11:8]; 3:outdata=result[7:4]; 4:outdata=result[3:0]; endcase

end

//译码

always

case(outdata)

0:out=63;

1:out=6;

2:out=91;

3:out=79;

4:out=102;

5:out=109;

6:out=125;

7:out=7;

8:out=127;

9:out=111;

10:out=119;

11:out=124;

12:out=57;

13:out=94;

14:out=121;

15:out=113;

endcase

//选择输入到哪一个暂存always @(sel or wt)

begin

if(wt==0)

begin

if(sel==0)a=d;

else b=d;

end

end

//计算功能选择

always

case(s)

0: result=0; //置零

1: result=a&b; //逻辑与 2: result=a|b; //逻辑或

3: result=a^b; //逻辑异或

4: {cout, result}=a+b+cin; //算术加

5: {cout, result}=a<<1; //a左移一位(含进位)

6: result=a>>1; //a右移一位

7: ; //无功能

endcase

endmodule

引脚分配参考:

d[15:0] AH12 AF14 AA8 AB8 AF12 AG12 AA10 U8 AF5 AH6 AH7 AH8 AH14 AG7 AG8 AF9

out[7:0] M21 G12 G14 G15 G18 F18 G17 G16

slt[2:0] G9 D22 C22

s[2:0] AE4 AC5 AD4

clk: A14,cin: AH11,sel: AE3,wt: AH10

实验六CPU寄存器组的设计

module Exp6(RA, wt, rd, m, rst, clk, d, out, sel);

input [1:0]RA; //通用寄存器选择

input rd, wt, rst, clk; //读开关,写开关,置零开关,时钟

input [1:0]m; //功能选择

input [15:0]d; //输入

output reg [7:0]out; //数码管输出

output reg [2:0]sel; //数码管3-8译码器输出reg [3:0]data;

reg [15:0]R0;

reg [15:0]R1;

reg [15:0]R2;

reg [15:0]R3;

reg [15:0]mid;

reg [15:0]counter;

regclk_alt;

reg [2:0]l;

//变频段

always @ (negedgeclk)

begin

if(l>=7)l=0;

else l=l+1;

clk_alt=l[2];

end

//读写

always @ (RA or rd or wt)

case(RA)

0:begin

if(rd==1 &&wt==0)R0=d;

else if(rd==0 &&wt==1)mid=R0; end

1:begin

if(rd==1 &&wt==0)R1=d;

else if(rd==0 &&wt==1)mid=R1; end

2:begin

if(rd==1 &&wt==0)R2=d;

else if(rd==0 &&wt==1)mid=R2; end

3:begin

if(rd==1 &&wt==0)R3=d;

else if(rd==0 &&wt==1)mid=R3; end

endcase

end

//PC寄存器

always @ (negedgeclk_alt)

if(rst==0)counter=0;

else case(m)

0:; //无功能

1:counter=counter-1; //减一计数

2:counter=counter+1; //加一计数

3:counter=mid; //置数

endcase

end

//输出

always @ (negedgeclk)

begin

sel=sel+1;

if(sel>=8)sel=0;

case(sel)

0:data=counter[15:12];

1:data=counter[11:8];

2:data=counter[7:4];

3:data=counter[3:0]; //前四位显示PC寄存器数值 4:data=mid[15:12];

5:data=mid[11:8];

6:data=mid[7:4];

7:data=mid[3:0]; //后四位显示通用寄存器数值 endcase

end

//译码

always

case(data)

0:out=63;

1:out=6;

2:out=91;

3:out=79;

4:out=102;

5:out=109;

6:out=125;

7:out=7;

8:out=127;

9:out=111;

10:out=119;

11:out=124;

12:out=57;

13:out=94;

14:out=121;

15:out=113;

endcase

endmodule

引脚分配参考:

RA[1:0] AG10 AG11,m[1:0] AE4 AC5

d[15:0] AH12 AF14 AA8 AB8 AF12 AG12 AA10 U8 AF5 AH6 AH7 AH8 AH14 AG7 AG8 AF9

out[7:0] M21 G12 G14 G15 G18 F18 G17 G16

sel[2:0] G9 D22 C22

clk: A14,rst: AH11,rd: AE3,wt: AD4

实验七运算器设计

此实验用Verilog HDL和原理图实现

ALU.v ALU部分(功能参照实验五)

module ALU(s, cin, wt, sel, in, cout, out);

input [2:0]s;

input sel, cin, wt;

input [15:0]in;

output reg [15:0]out;

output regcout;

reg [15:0]a;

reg [15:0]b;

always @(sel or wt)

begin

if(wt==0)

begin

if(sel==0)a=in;

else b=in;

end

end

always

case(s)

0: out=0;

1: out=a&b;

2: out=a|b;

3: out=a^b;

4: {cout, out}=a+b+cin; 5: {cout, out}=a<<2;

6: out=a>>2;

7: ;

endcase

endmodule

REG.v CPU寄存器组部分(功能参照实验六)

module REG(in, out, slt, d, RA, wr, m, rst, clk, sel, mid); input [15:0]in;

input [15:0]d;

input [1:0]RA;

input wr, rst, clk, sel; //sel为选择开关,选择从ALU的输出出入或者外部输入

input [1:0]m;

output reg[7:0]out;

output reg[2:0]slt;

reg [3:0]data;

reg [15:0]R0;

reg [15:0]R1;

reg [15:0]R2;

reg [15:0]R3;

output reg [15:0]mid;

reg [15:0]counter;

regclk_alt, wt, rd;

reg [2:0]l;

always @ (negedgeclk)

begin

if(l>=7)l=0;

else l=l+1;

clk_alt=l[2];

end

//Read and Write

always

begin

case(RA)

0:begin

if(wr==0)

if(sel==1)R0=d; else R0=in;//sel高电平从外部输入,否则从ALU输入,下同

else mid=R0;

end

1:begin

if(wr==0)

if(sel==1)R1=d; else R1=in;

else mid=R1;

end

2:begin

if(wr==0)

if(sel==1)R2=d; else R2=in;

else mid=R2;

end

3:begin

if(wr==0)

if(sel==1)R3=d; else R3=in; else mid=R3;

end

endcase

end

//PC

always @ (negedgeclk_alt)

begin

if(rst==0)counter=0;

else case(m)

0:;

1:counter=counter-1;

2:counter=counter+1;

3:counter=d;

endcase

end

//output

always @ (negedgeclk)

begin

西南交大物理实验期末试题题库-静电场模拟

静电场模拟实验预习题: 静电场模拟实验_01 出题:魏云 什么叫物理模拟?( A ) A,指模拟过程和被模拟过程具有相同的物理性质,如用飞机模型在风洞中模拟飞机在飞行中的受力分布; B,都是力学量或相同的量,如引力和电场力; C,稳恒电流场模拟静电场。 静电场模拟实验_02 出题:魏云 测等位面用一般电压表可以吗?( B ) A,可以; B,不可以。 静电场模拟实验_03 出题:魏云 用稳恒电流场去模拟静电场,如果两种物理过程具有相同的数学方程却有不同的边界条件可以吗?(B)A,可以; B,不可以。 静电场模拟实验_04 出题:魏云 在静电场模拟实验中,两电极间等位线的分布和形状与两电极间电位差的大小有关系吗?( B ) A,有关系; B,没有关系。 静电场模拟实验_05 出题:魏云 在静电场模拟实验中,将两电极电压的正负极接反,其等位线和电力线的形状有变化吗?( B ) A,有变化; B,没有变化。 静电场模拟实验_06 出题:魏云 用稳恒电流场模拟静电场的基础是( A ) A,二者都服从拉普拉斯方程和安培环路定律; B,二者都服从麦克斯韦方程和高斯定律。 静电场模拟实验_07 出题:魏云 在静电场模拟实验中,用检流计来找等位线可以吗?( A ) A,可以; B,不可以。 静电场模拟实验_08 出题:魏云 在静电场中等位线和电场线的关系应该( A ) A,在空间处处正交; B,在空间处处平行。 静电场模拟实验_09 出题:魏云 在静电场模拟实验中,载水盘中的水的作用是( A ) A,充当导电介质; B,可有可无。 静电场模拟实验_10 出题:魏云 用电位差计测静电场中等位线的分布可以吗?( A )

计算机组成原理实验题

一.这是一个判断某一年是否为润年的程序,运行可执行程序Ifleap.exe后,输入具体的年份,可输出是本年是否为闰年的提示信息。 DATA SEGMENT ;定义数据段 INFON DB 0DH,0AH,'PLEASE INPUT A YEAR: $' Y DB 0DH,0AH,'THIS IS A LEAP YEAR! $' N DB 0DH,0AH,'THIS IS NOT A LEAP YEAR! $' W DW 0 BUF DB 8 DB ? DB 8 DUP(?) DATA ENDS STACK SEGMENT STACK DB 200 DUP(0) STACK ENDS CODE SEGMENT ASSUME DS:DATA,SS:STACK,CS:CODE START:MOV AX,DATA MOV DS,AX LEA DX,INFON ;在屏幕上显示提示信息 MOV AH,9 INT 21H LEA DX,BUF ;从键盘输入年份字符串 MOV AH,10 INT 21H MOV CL, [BUF+1] LEA DI,BUF+2 CALL DATACATE CALL IFYEARS JC A1 LEA DX,N MOV AH,9 INT 21H

JMP EXIT A1: LEA DX,Y MOV AH,9 INT 21H EXIT: MOV AH,4CH INT 21H 二.这是一个显示系统日期和时间的程序,运行时,在出现的提示信息中输入大写字母“D”,可显示系统当前日期;输入大写字母“T”,可显示系统当前时间;输入大写字母“Q”,可结束程序。 DATACATE PROC NEAR; PUSH CX; DEC CX LEA SI,BUF+2 TT1: INC SI LOOP TT1 ;LEA SI,CX[DI] POP CX MOV DH,30H MOV BL,10 MOV AX,1 L1: PUSH AX SUB BYTE PTR [SI],DH MUL BYTE PTR [SI] ADD W,AX POP AX MUL BL DEC SI LOOP L1 RET DATACATE ENDP

西南交大物理实验期末试题题库-误差理论

z 绪论试题 A) t =(8.50±0.445) s B) v =(343.2±2.4) m C) v =0.34325 k m ±2.3 m s D) l =25.62 m ± 0.06 m 误差理论_02 出题:物理实验中心 用误差限0.10 mm 的钢尺测量钢丝长度,10次的测量数据为:(单位:mm )25.8、25.7、25.5、25.6、25.8、25.6、25.5、25.4、25.7、25.6。钢丝的测量结果为(D) A) l =25.62 ± 0.04 m B) l =25.62 ± 0.10 m C) l =25.62 m ± 0.06 m D) l =25.6 ± 0.1 m 误差理论_03 出题:物理实验中心 函数关系N =3xy ,其中直接测量量x 、y 的不确定度用x u 、y u 表示,其最佳估值用x 、y B) 3N x y =?,N u = C) 3 1 n i i i x y N n =?=∑,N u =D) 3N x y =?,N u = 误差理论_04 出题:物理实验中心

下列测量结果正确的表示为(D ) A) 重力加速度g =9.78±0.044 B) v =343.24±2.553m/s C) E =1.34325V±2.00 mV D) I =1.3V±0.2 mA 误差理论_05 出题:物理实验中心 用误差限0.10mm 的钢直尺测量钢丝长度,11次的测量数据为:(单位:mm ) 25.8、25.8、25.7、25.5、25.6、25.8、25.6、25.5、25.4、25.7、25.6。钢丝的测量结果为(D) A) l =25.62 ± 0.04 m B) l =27.4 ± 2.1 m C) l =25.62 m ± 0.06 m D) l =25.6 ± 0.1 m 误差理论_06 出题:物理实验中心 函数关系2=xy N z ,其中直接测量量x 、y 的不确定度用x u 、y u 、z u 表示,其最佳估值用 x 、y 、z 表示。则物理量N 的测量结果为(A) A) 2x y N z ?= ,N u =B) 2x y N z ?= ,N u =C) 21i i n i i x y z N n =?=∑, N u =D) 2x y N z ?= ,N u = 误差理论_07 出题:物理实验中心 以下关于最后结果表达式=x x u ±的叙述中错误的是(A) A) 它说明物理量x 的真值一定包含在~x u x u -+中 B) 它说明物理量x 的真值包含在~x u x u -+中的概率为68.3% C) u 指的是物理量x 的合成不确定度

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

计算机组成原理上机实验报告

《计算机组成原理实验》课程实验报告 实验题目组成原理上机实验 班级1237-小 姓名 学号 时间2014年5月 成绩

实验一基本运算器实验 1.实验目的 (1)了解运算器的组成原理 (2)掌握运算器的工作原理 2.实验内容 输入数据,根据运算器逻辑功能表1-1进行逻辑、移位、算术运算,将运算结果填入表1-2。 表 1-1运算器逻辑功能表 运算类 A B S3 S2 S1 S0 CN 结果 逻辑运算65 A7 0 0 0 0 X F=( 65 ) FC=( ) FZ=( ) 65 A7 0 0 0 1 X F=( A7 ) FC=( ) FZ=( ) 0 0 1 0 X F=( ) FC=( ) FZ=( ) 0 0 1 1 X F=( ) FC=( ) FZ=( ) 0 1 0 0 X F=( ) FC=( ) FZ=( ) 移位运算0 1 0 1 X F=( ) FC=( ) FZ=( ) 0 1 1 0 0 F=( ) FC=( ) FZ=( ) 1 F=( ) FC=( ) FZ=( ) 0 1 1 1 0 F=( ) FC=( ) FZ=( ) 1 F=( ) FC=( ) FZ=( ) 算术运算 1 0 0 0 X F=( ) FC=( ) FZ=( ) 1 0 0 1 X F=( ) FC=( ) FZ=( ) 1 0 1 0X F=( ) FC=( ) FZ=( ) 1 0 1 0X F=( ) FC=( ) FZ=( ) 1 0 1 1 X F=( ) FC=( ) FZ=( ) 1 1 0 0 X F=( ) FC=( ) FZ=( ) 1 1 0 1 X F=( ) FC=( ) FZ=( ) 表1-2运算结果表

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计算机组成原理实验一

_计算机_学院计算机科学与技术专业_10(5)班______组、学号3210006075 姓名钟柳贤协作者___________ 教师评定 实验题目_基础汇编语言程序设计_______________________ 一、实验目的: 1.学习和了解TEC-XP教学实验系统监控命令的用法; 2.学习和了解TEC-CP教学实验系统的指令系统; 3.学习简单的TEC-XP教学实验系统汇编程序设计; 二、实验设备与器材: TEC-XP+教学实验系统 仿真终端软件PCEC 三、实验内容: 1.学习联机使用TEC-XP教学实验系统和仿真终端软件PCEC。 2.使用监控程序的R命令显示/修改寄存器内容,D命令显示存储器内容,E命令修改存储器内容: 3.使用A命令写一小段汇编程序,U命令反汇编刚输入的程序,用G命令连续运行该程序,用T,P命令单步运行并观察程序单步执行情况: 四、实验步骤: 一、实验具体操作步骤 1.准备一台串口工作良好的PC机; 2.将TXC-XP放在实验台上,打开实验箱的盖子,确定电源处于断开状态; 3.将黑色的电源线一端接220V交流电源,另一端插在TEC—XP实验箱的电源插座里;4.取出通讯线,将通讯的9芯插头接在TEC—XP实验箱上的串口“COM1”或“COM2”上,另一端接到PC机的串口上; 5.将TEC—XP实验系统左下方的五个黑色的控制机器运行状态的开关置于正确的位置,在这个实验中开关应置为00110(连续、内存读指令、组合逻辑、联机、16位),控制开关的功能在开关上、下方有标示;开关拨向上方表示“1”,拨向下方表示“0”,“X”表示任意,其它实验相同; 6.打开电源,船形开关和5V电源指示灯亮。 7.在PC机上运行PCEC16.EXE文件,根据连接的PC机的串口设置所用PC机的串口为“1” 或“2”,其它设置一般不作改动,直接回车即可。 8.按一下“RESET”按键,再按一下“START”按键,主机上显示:

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

西南交大物理实验期末试题题库误差理论

西南交大物理实验期末试题题库误差理论 TTA standardization office【TTA 5AB- TTAK 08- TTA 2C】

z 绪论试 题 误差理论_01 出题:物理实验中心 下列测量结果正确的表示为(B ) A) t =(±) s B) v =(±) m C) v = k m ± m s D) l = m m 误差理论_02 出题:物理实验中心 用误差限 mm 的钢尺测量钢丝长度,10次的测量数据为:(单位: mm )、、、、、、、、、。钢丝的测量结果为(D) A) l = m B) l = m C) l = m m D) l = m 误差理论_03 出题:物理实验中心 函数关系N =3xy ,其中直接测量量x 、y 的不确定度用x u 、y u 表示,其最佳估值用x 、y 表示。则物理量N 的测量结果为(A)。 B) 3N x y =?,N u = C) 3 1n i i i x y N n =?=∑,N u = D) 3N x y =?,N u =

误差理论_04 出题:物理实验中心 下列测量结果正确的表示为(D ) A) 重力加速度g =± B) v =±s C) E =± mV D) I =± mA 误差理论_05 出题:物理实验中心 用误差限的钢直尺测量钢丝长度,11次的测量数据为:(单位:mm ) 、、、、、、、、、、。钢丝的测量结果为(D) A) l = m B) l = m C) l = m m D) l = m 误差理论_06 出题:物理实验中心 函数关系2 =xy N z ,其中直接测量量x 、y 的不确定度用x u 、y u 、z u 表示,其最佳估值用x 、y 、z 表示。则物理量N 的测量结果为(A) A) 2x y N z ?= ,N u =B) 2x y N z ?= ,N u =C) 21i i n i i x y z N n =?=∑, N u = D) 2x y N z ?=, N u =误差理论_07 出题:物理实验中心 以下关于最后结果表达式=x x u ±的叙述中错误的是(A) A) 它说明物理量x 的真值一定包含在~x u x u -+中 B) 它说明物理量x 的真值包含在~x u x u -+中的概率为%

计算机组成原理实验五

上海大学计算机学院 《计算机组成原理实验》报告一 姓名:学号:教师: 时间:机位:报告成绩: 实验名称:指令系统实验 一、实验目的:1. 读出系统已有的指令,并理解其含义。 2. 设计并实现一条新指令。 二、实验原理:利用CP226实验仪(用74HC754即8D型上升沿触发器)上的K16…K23 开关为数据总线DBUS设置数据,其他开关作为控制信号,一条指令执行完 毕PC会自动加1,系统顺序执行下一条指令,但系统要进入一个新的指令序 列时,如跳转、转子程序等,必须给PC打入新的起始值——新指令序列的 入口地址。实验箱实现把数据总线的值(目标地址)打入PC的操作,以更新 PC值。 三、实验内容:1. 考察机器指令64的各微指令信号,验证该指令的功能。(假设R0=77H, A=11H, 77地址单元存放56H数据,64指令的下一条指令为E8) 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT” 操作。 四、实验步骤:1. 考察机器指令64的各微指令信号,验证该指令的功能。(假设R0=77H, A=11H, 77地址单元存放56H数据,64指令的下一条指令为E8) ①在初始化系统(Reset),进入微程序存储器模式(μEM状态),用NX键观 察64H,65H,66H,67H, 地址中原有的微指令,分析并查表确定其功能。 ②在EM状态下,Adr打入A0,DB打入64;按NX键,Adr显示A1,DB 打入E8。 ③在μEM状态下,在E8H、E9H、EAH、EBH下分别打入:FFDED8、CBFFFF、 FFFFFF、FFFFFF。 ④给μPC状态下,打入μPC(00)、PC(A0)、A(11)、W(00),按3次 NX输入R0(77)。 ⑤按下STEP键,观察实验现象。 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT” 操作。 ⑥继续按STEP键,直到进入E8状态下。 ⑦在EM状态下,打入Adr为77,DB为56。 ⑧按STEP键执行指令,观察实验现象。 五、实验现象:OUT寄存器的值为5A。 六、数据记录、分析与处理:实验结果和预期的一样。 七、实验结论:1、机器指令64对应的各微指令码为:FF77FF、D7BFEF、FFFE92、CBFFFF。其功能为:将R0寄存器的值打入地址寄存器MAR;存贮器EM将MAR输出地址所对应的值打入W寄存器;ALU直通门输出的值打入A寄存器,A、W中的值进行“与”运算,结果在A输出;PC+1,读出下一条指令并立即执行。 八、建议:暂无。

西南交通大学大物A作业解析

?西南交大物理系_2013_02 《大学物理AI 》作业 No.03角动量 角动量守恒定律 班级 ________ 学号 ________ 姓名 _________ 成绩 _______ 一、判断题:(用“T ”和“F ”表示) [ F ] 1.如果一个刚体所受合外力为零,其合力矩一定为零。 [ F ] 2.一个系统的动量守恒,角动量一定守恒。 [ T ] 3.一个质点的角动量与参考点的选择有关。 [ F ] 4.刚体的转动惯量反映了刚体转动的惯性大小,对确定的刚体,其转动惯量是一定值。 [ F ] 5.如果作用于质点的合力矩垂直于质点的角动量,则质点的角动量将不发生变化。 二、选择题: 1.有两个半径相同、质量相等的细圆环A 和B 。A 环的质量分布均匀,B 环的质量分布不均匀。它们对通过环心并与环面垂直的轴的转动惯量分别为A J 和B J [ C ] (A) A J >B J (B) A J

计算机组成原理实验报告材料

福建农林大学计算机与信息学院信息工程类实验报告系:计算机科学与技术专业:计算机科学与技术年级: 09级 姓名:张文绮学号: 091150022 实验课程:计算机组成原理 实验室号:___田405 实验设备号: 43 实验时间:2010.12.19 指导教师签字:成绩: 实验一算术逻辑运算实验 1.实验目的和要求 1. 熟悉简单运算器的数据传送通路; 2. 验证4位运算功能发生器功能(74LS181)的组合功能。 2.实验原理 实验中所用到的运算器数据通路如图1-1所示。其中运算器由两片74181

以并/串形式构成8位字长的ALU。运算器的输出经过一个三态门(74245)和数据总线相连,运算器的两个数据输入端分别由两个锁存器(74373)锁存,锁存器的输入连接至数据总线,数据开关INPUT DEVICE用来给出参与运算的数据,并经过一个三态门(74245)和数据总线相连,数据显示灯“BUS UNIT”已和数据总线相连,用来显示数据总线内容。 图1-2中已将用户需要连接的控制信号用圆圈标明(其他实验相同,不再说明),其中除T4为脉冲信号,其它均为电平信号。由于实验电路中的时序信号均已连至W/R UNIT的相应时序信号引出端,因此,在进行实验时,只需将W/R UNIT 的T4接至STATE UNIT的微动开关KK2的输出端,按动微动开关,即可获得实验所需的单脉冲,而S3,S2,S1,S0,Cn,LDDR1,LDDR2,ALU-B,SW-B各电平控制信号用SWITCH UNIT中的二进制数据开关来模拟,其中Cn,ALU-B,SW-B为低电平控制有效,LDDR1,LDDR2为高电平有效。 3.主要仪器设备(实验用的软硬件环境) ZYE1603B计算机组成原理教学实验系统一台,排线若干。 4.操作方法与实验步骤

计算机组成原理实验五存储器读写实验

实验五 存储器读写实验实验目的 1. 掌握存储器的工作特性。 2. 熟悉静态存储器的操作过程,验证存储器的读写方法。 二、实验原理 表芯片控制信号逻辑功能表

2. 存储器实验单元电路 芯片状态 控制信号状态 DO-D7 数据状态 M-R M -W 保持 1 1 高阻抗 读出 0 1 6116-^总钱 写人 1 0 总线-*6116 无效 报警 ^2-10 D7—DO A7—A0

團2-8存储器实验电路逻辑图 三、实验过程 1. 连线 1) 连接实验一(输入、输出实验)的全部连线。 2) 按逻辑原理图连接M-W M-R 两根信号低电平有效信号线 3) 连接A7-A0 8根地址线。 4) 连接B-AR 正脉冲有效信号 2. 顺序写入存储器单元实验操作过程 1) 把有B-AR 控制开关全部拨到0,把有其他开关全部拨到1,使全部信号都处 于无效 状态。 2) 在输入数据开关拨一个实验数据,如“ 00000001”即16进制的01耳 把IO-R 控制开关拨下,把地址数据送到总线。 3) 拨动一下B-AR 开关,即实现“1-0-1 ”产生一个正脉冲,把地址数据送地 址寄存器保存。 4) 在输入数据开关拨一个实验数据,如“ 10000000',即16进制的80耳 把IO-R 控 制开关拨下,把实验数据送到总线。 3. 存储器实验电路 0 O O 0 0 olo O O O O 0 00 OUTPUT L/O :W 8-AR £ ■」2 ■七 ol^Fgr' L P O 74LS273 A7- AO vz 0 o|o 0 r 6116 A7 INPUT D7-O0 [olololololololol T2

计算机组成原理实验实验报告

计算机组成原理实验报告 学院信息与管理科学学院 专业班级计算机科学与技术2010级2班学生姓名毛世均 1010101046 指导教师郭玉峰 撰写日期:二○一二年六月四日

SA4=1 1.根据上边的逻辑表达式,分析58页图6-2的P1测试和P4测试两条指令的微地址转移方向。 P1测试:进行P1测试时,P1为0,其他的都为1, 因此SA4=1, SA3=I7,SA2=I6,SA1=,SA0=I4 微地址011001,下址字段为001000下址字段001000译码后,高两位不变,仍然为00,低四位受到机器指令的高四位I7-I4的影响。 机器指令的高四位为0000时,下一条微指令地址为001000,转到IN 操作。机器指令高四位0010时,下一条微指令地址为001010,转到MOV 操作。机器指令高四位为0001时,下一条微指令地址为001001,转到ADD 操作。机器指令高四位为0011时,下一条微指令地址为001011,转到OUT 操作。机器指令高四位为0100时,下一条微指令地址001100,转到JMP 操作 P4测试:进行P4测试时,P4为0,其他的都为1. 因此SA4=SA3=SA2=1,SA1=CA2,SA0=CA1 微地址000000,下址字段为010000. 010000被译码之后,高四位不变,0100低两位由CA2和CA1控制。CA2和CA1的值是由单片机的键盘填入控制的。 当实验选择CtL2=1时,CA2和CA1被填入0和1,这时低两位被译码电路翻译成01,所以下一条微地址就是010001,然后进入写机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和0,这时低两位被译码电路翻译成10,所以下一条微地址就是010010,然后进入读机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和1,这时低两位被译码电路翻译成 11,所以下一条微地址就是010011,然后进入运行机器指令的状态。 2.分析实验六中五条机器指令的执行过程。

计算机组成原理实验报告5- PC实验

2.5 PC实验 姓名:孙坚学号:134173733 班级:13计算机日期:2015.5.15 一.实验要求:利用CPTH 实验仪上的K16..K23 开关做为DBUS 的数据,其它开关做为控制信号,实现程序计数器PC的写入及加1 功能。 二.实验目的:1、了解模型机中程序计数器PC的工作原理及其控制方法。2、了解程序执行过程中顺序和跳转指令的实现方法。 三.实验电路:PC 是由两片74HC161构成的八位带预置记数器,预置数据来自数据总线。记数器的输出通过74HC245(PCOE)送到地址总线。PC 值还可以通过74HC245(PCOE_D)送回数据总线。 PC 原理图 在CPTH 中,PC+1 由PCOE 取反产生。 当RST = 0 时,PC 记数器被清0 当LDPC = 0 时,在CK的上升沿,预置数据被打入PC记数器 当PC+1 = 1 时,在CK的上升沿,PC记数器加一 当PCOE = 0 时,PC值送地址总线

PC打入控制原理图 PC 打入控制电路由一片74HC151 八选一构成(isp1016实现)。 当ELP=1 时,LDPC=1,不允许PC被预置 当ELP=0 时,LDPC 由IR3,IR2,Cy,Z确定 当IR3 IR2 = 1 X 时,LDPC=0,PC 被预置 当IR3 IR2 = 0 0 时,LDPC=非Cy,当Cy=1时,PC 被预置 当IR3 IR2 = 0 1 时,LDPC=非Z,当Z=1 时,PC 被预置 连接线表 四.实验数据及步骤: 实验1:PC 加一实验

置控制信号为: 按一次STEP脉冲键,CK产生一个上升沿,数据PC 被加一。 实验2:PC 打入实验 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据12H 置控制信号为: 每置控制信号后,按一下STEP键,观察PC的变化。 五.心得体会: 经过上一个实验的练习,在做这个实验的时候更加得心应手,了解了模型机中程序计数器PC的工作原理及其控制方法,还有了解了程序执行过程中顺序和跳转指令的实现方法。

西南交大物理实验期末试题题库-转动惯量

“转动惯量测定”实验(一)判断题 1、()转动惯量测定实验:由于g﹥﹥a(g和a分别表示重力加速度和砝码下 落的加速度),所以实验中忽略了a。 2、()转动惯量测定实验:由于遮光片的初始位置 ....与测量的时间数据有关,因此需将遮光片的初始位置设定在转台的某一光电传感器处。 3、()转动惯量测定实验:实验可以证明,圆环的转动惯量与砝码质量 ....有关。 4、()转动惯量测定实验:实验中忽略了转台转轴所受摩擦力矩的影响。 5、()“转动惯量测定”实验中,未考虑滑轮的摩擦力矩和滑轮质量的影响。 6、()转动惯量测定实验:由于遮光片的初始角速度 .....与测量的时间数据有关,因此需将遮光片的初始位置设定在某一光电传感器处。 7、()转动惯量测定实验:实验可以证明,两金属圆柱体构成的转动系统其转 动惯量与塔轮半径 ....有关。 (二)填空题 1、“转动惯量测定”实验中,通过改变的距离证明刚体的转动惯量与其质量分布有关。 2、“转动惯量测定”实验中,如果测得空台转动惯量J=11.18×10-3kgm2,在不加外力矩的情况下,角加速度β=-0.043/s2,估计转轴摩擦力矩的数量级为 Nm。 3、转动惯量测定实验:电脑计时器测量的时间间隔是从到 所经历的时间。 4、“转动惯量测定”实验中,过改变圆柱体到转轴的距离证明刚体的转动惯量与 有关。 (三)选择题 1、转动惯量实验中的间接测量量是: A)角加速度 B)时间 C)圆柱体和圆盘的直径、质量 D)光电门输出的脉冲数

1 2 E )转动惯量 2、转动惯量实验中,转台不水平会导致 。 A )绳上张力难与转轴保持垂直 B )转轴的摩擦力不稳定 C )计时不准确 D )光电门与遮光片发生碰撞 3、转动惯量实验中,①忽略了转台所受摩擦力矩的影响,②不考虑滑轮的摩擦力矩和滑轮质量的影响。这两种说法中: A )①正确,②错误 B )①错误,②正确 C )①和②都正确 D )①和②都错误 4、转动惯量实验中,电脑计时记录的是砝码从最高点下落到地面所经历的时间。这 个叙述是: A )正确的 B )错误的 5、单摆实验中估计摆长时,下面哪个因素所造成的误差应该估计的稍微大一些? A )测量所用仪器的仪器误差 B )测量时尺子与摆线不平行造成的误差 C )摆线自身弹性造成的误差 D )摆球大小、偏心造成的误差 6、单摆实验中,如果想用精度为1秒的秒表来代替精度为1/100秒的电子秒表计时而且保证重力加速度的测量精度不降低,那么应该: A )增加摆长值 B )减小摆长值 C )增加摆长的测量精度 7、转动惯量实验中的间接测量量是: A )角加速度 B )时间 C )圆柱体和圆盘的直径、质量 D )光电门输出的脉冲数 E )转动惯量 8、如果忽略实验误差,测量得到的时间数据与转盘转动角度的关系是: A )线性关系 B )二次曲线型关系 C )对数关系 D )无特定的关系 9、圆盘转动惯量的理论计算式J= —mR 2中,m 是 A )砝码的质量 B )砝码挂钩的质量 C )砝码、挂钩的质量和 D )圆盘质量

计算机组成原理实验报告(运算器组成、存储器)

计算机组成原理实验报告 一、实验1 Quartus Ⅱ的使用 一.实验目的 掌握Quartus Ⅱ的基本使用方法。 了解74138(3:8)译码器、74244、74273的功能。 利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。 二.实验任务 熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。 新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三种期间的功能。 三.74138、74244、74273的原理图与仿真图 1.74138的原理图与仿真图 74244的原理图与仿真图

1. 4.74273的原理图与仿真图、

实验2 运算器组成实验 一、实验目的 1.掌握算术逻辑运算单元(ALU)的工作原理。 2.熟悉简单运算器的数据传送通路。 3.验证4位运算器(74181)的组合功能。 4.按给定数据,完成几种指定的算术和逻辑运算。 二、实验电路 附录中的图示出了本实验所用的运算器数据通路图。8位字长的ALU由2片74181构成。2片74273构成两个操作数寄存器DR1和DR2,用来保存参与运算的数据。DR1接ALU的A数据输入端口,DR2接ALU的B数据输入端口,ALU的数据输出通过三态门74244发送到数据总线BUS7-BUS0上。参与运算的数据可通过一个三态门74244输入到数据总线上,并可送到DR1或DR2暂存。 图中尾巴上带粗短线标记的信号都是控制信号。除了T4是脉冲信号外,其他均为电位信号。nC0,nALU-BUS,nSW-BUS均为低电平有效。 三、实验任务 按所示实验电路,输入原理图,建立.bdf文件。 四.实验原理图及仿真图 给DR1存入01010101,给DR2存入10101010,然后利用ALU的直通功能,检查DR1、

计算机组成原理实验1.

计算机组成原理实验1 运算器(脱机)实验 通过开关、按键控制教学机的运算器执行指定的运算功能,并通过指示灯观察运算结果。实验原理: 为了控制Am2901运算器能够按照我们的意图完成预期的操作功能,就必须向其提供相应的控制信号和数据。 控制信号包括 1、选择送入ALU的两路操作数据R和S的组合关系(实际来源)。 2、选择ALU的八种运算功能中我们所要求的一种。这可通过提供三位功能选择码I5、 I4、I3实现。 3、选择运算结果或有关数据以什么方式送往何处的处理方案,这主要通过通用寄存器 组合和Q寄存器执不执行接收操作或位移操作,以及向芯片输出信息Y提供的是 什么内容。这是通过I8、I7、I6三位结果选择码来控制三组选择门电路实现的。 外部数据包括 1、通过D接收外部送来的数据 2、应正确给出芯片的最低位进位输入信号C n 3、关于左右移位操作过程中的RAM3、RAM0、Q3和Q0的处理。 4、当执行通用寄存器组的读操作时,由外部送入的A地址选中的通用寄存器的内容送 往A端口,由B地址选中的通用寄存器的内容送往B端口,B地址还用作通用寄 存器的写汝控制。 对于芯片的具体线路,需说明如下几点: 1、芯片结果输出信号的有无还受一个/OE(片选)信号的控制。 2、标志位F=0000为集电极开路输出,容易实现“线与”逻辑,此管脚需经过一个电阻 接到+5V。 3、RAM3、RAM0、Q3和Q0均为双向三态逻辑,一定要与外部电路正确连接。 4、通用寄存器组通过A端口、B端口读出内容的输出处均有锁存器线路支持。 5、该芯片还有两个用于芯片间完成高速进位的输出信号/G和/P。 6、Am2901芯片要用一个CLK(CP)时钟信号作为芯片内通用寄存器、锁存器和Q寄 存器的打入信号。 实验步骤如下: (1)选择运算器要完成的一项运算功能,包括数据来源,运算功能,结果保存等;(2)需要时,通过数据开关向运算器提供原始数据; (3)通过24位的微型开关向运算器提供为完成指定运算功能所需要的控制信号; (4)通过查看指示灯或用电表量测,观察运算器的运行结果(包括计算结果和特征标志)。实验准备 12为微型开关的具体控制功能分配如下: A口和B口地址:送给Am2901器件用于选择源与目的操作数的寄存器编号; I8~I0:选择操作数来源、运算操作功能、选择操作数处理结果和运算器输出内容的3组3位控制码; Sci,SSH和SST:用于确定运算器最低位的进位输入、移位信号的入/出和怎样处理Am2901产生的状态标志位的结果。

西南交大大物试卷答案06A'

《大学物理AII 》作业 No.6 光的偏振 一、选择题 1. 在双缝干涉实验中,用单色自然光,在屏上形成干涉条纹。若在两缝后放一个偏振片,则 [ B ] (A) 干涉条纹的间距不变,但明纹的亮度加强。 (B) 干涉条纹的间距不变,但明纹的亮度减弱。 (C) 干涉条纹的间距变窄,且明纹的亮度减弱。 (D) 无干涉条纹。 解:双缝后放置的偏振片使光强减弱,但不影响其它干涉因素,所以干涉条纹位置间距不变,只是明纹亮度减弱。 2. 使一光强为0I 的平面偏振光先后通过两个偏振片1P 和2P 。1P 和2P 的偏振化方向与原入射光光矢量振动方向的夹角分别是α和 90,则通过这两个偏振片后的光强I 是 [ C ] (A) α20cos 2 1 I (B) 0 (C) ()α2sin 4120I (D) α20sin 4 1 I (E) α40cos I 解:由马吕斯定律,光强为0I 的偏振光通过第一个偏振片后,光强为α201cos I I =, 再通过第二个偏振片,光强变为 ()ααααπα2sin 41sin cos 2cos cos 202202202I I I I ==?? ? ??-= 3. 一束光强为0I 的自然光,相继通过三个偏振片1P 、2P 、3P 后,出射光的光强为 8/0I I =。已知1P 和3P 的偏振化方向相互垂直,若以入射光线为轴,旋转2P ,要使出射光的光强为零,2P 最少要转过的角度是 [ B ] (A) 30 (B) 45 (C) 60 (D) 90 解:设1P 和2P 偏振化方向之间夹角为α,光强为0I 的自然光通过三个偏振片后,光强 ()ααπα2sin 8 1 2cos cos 2120220I I I =??? ??-??= 由题意, 8 0I I = ,所以()4,12sin 2παα== 要使出射光强为零,2P 的偏振化方向应与1P 或3P 的偏振化方向平行,即最少要转过4 π 。

计算机组成原理专题实验

计算机组成原理专题实验 课程设计方案 学院:电信学院 班级:计算机16 学号:2110505136 姓名:冯旭 指导老师:姜欣宁 提交日期:2014年4月1日

设计目的 通过对一个简单模型机的设计与实现,对计算机的基本组成、部件的设计、部件间的 连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。 在掌握部件单元电路实验的基础上,进一步将其组成系统地构造一台基本模型计算机。 指令集的设计 1.机器位数及寻址特性及时间特性 计划设计一个16位系统,提供256字的寻址空间和16个16位的通用寄存器。寻址方式提供立即数寻址、寄存器寻址和直接寻址3种方式。 计划每条指令由两个机器周期完成(第一个机器周期取指令,第二个机器周期执行指令),每个机器周期固定占4个时钟周期。 2.指令类型 计划提供16种指令,其中算逻类指令8条,其他类指令8条。 指令采用类似MIPS的方式,即只能使用load和store指令来访问存储器,一切运算均在寄存器之间进行。同时由于所设计的系统是简化系统不含I/O设备,所以指令集中不提供I/O类指令。 具体指令及其所采用的寻址方式,如下所示: 非算逻类指令: 指令名指令格式指令含义 LOAD Load dr,addr 将地址addr中的数存入dr寄存器 STORE Storedr,addr 将dr寄存器中的数写入地址addr所指的空间 JMP Jmp addr 跳转到地址addr JNE Jne addr 若标志位z无效跳转到地址addr JC Jc addr 若标志位c有效跳转到地址addr NOP nop 空指令 MOV Mov dr,sr 将sr寄存器中的值复制到sr寄存器 MOVI Movi dr,imm 将8位立即数imm写入dr寄存器 算逻类指令: ADD Add dr,sr 将dr寄存器中的值与sr寄存器中的值相加,结果存dr寄存器 SUB Sub dr,sr 将dr寄存器中的值与sr寄存器中的值相减,结果存dr寄存器 AND And dr,sr 将dr寄存器中的值与sr寄存器中的值按位做逻辑与,结果存dr寄存器 OR Or dr,sr 将dr寄存器中的值与sr寄存器中的值按位做逻辑或,结果存dr寄存器 NOT Not dr 将dr寄存器中的值按位取反 SHL Shl dr,sr 将dr寄存器中的值逻辑左移sr中值那么多位 SHR Shr dr,sr 将dr寄存器中的值逻辑右移sr中值那么多位 INC Inc dr 将dr寄存器中的值自增1 3.指令格式 我们采用16位固定位指令格式,根据操作数寻址方式的不同,对这16位可以划分为两种不同的理解方式,即可以认为是提供两种相似的格式: 其中操作码部分均为4位,占指令中15到12的高4位。DR和SR均为通用寄存器的编号,各占4位,ADDR/IMM部分为地址或立即数(8位)占指令中最低8位。 根据指令中操作数的数量和寻址类型的不同选用不同的格式,其主导思想为:

相关文档