文档库 最新最全的文档下载
当前位置:文档库 › 模数转换器ADC0809应用原理

模数转换器ADC0809应用原理

模数转换器ADC0809应用原理
模数转换器ADC0809应用原理

AD0809应用原理--很全面的资料

1. 0809的芯片说明:

ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS 组件。它是逐次逼近式A/D转换器,可以和单片机直接接口。

(1)ADC0809的内部逻辑结构

由上图可知,ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当O E端为高电平时,才可以从三态输出锁存器取走转换完的数据。

(2).引脚结构

IN0-IN7:8条模拟量输入通道

如下图所示,从ADC0809的通道IN3输入0-5V之间的模拟量,通过ADC0809转换成数字量在数码管上以十进制形成显示出来。ADC0809的VREF接+5V电压。

4.电路原理图

5.程序设计:

(1).进行A/D转换时,采用查询EOC的标志信号来检测A/D转换是否完毕,若完毕则把数据通过P0端口读入,经过数据处理之后在数码管上显示。

(2).进行A/D转换之前,要启动转换的方法:

ABC=110选择第三通道

ST=0,ST=1,ST=0产生启动转换的正脉冲信号 .

(3). 关于0809的计算:

ad0809是根据逐位逼近的方法产生数据的。。

参考电压为0-5V的话。以0809八位255的转换精度每一位的电压值为(5-0)/255≈0. 0196V

设输入电压为X则:

X-27*0.0196>=0则AD7=1否则AD7=0。

X-26*0.0196>=0则AD6=1否则AD6=0。

X-20*0.0196>=0则AD0=1否则AD0=0。

(27指2的7次方。26-------20同理)

若参考电压为0-1V

(1-0)/255≈0.0039V精度自然高了。。可测量范围小了。

1)汇编源程序:

CH EQU 30H

DPCNT EQU 31H

DPBUF EQU 33H

GDATA EQU 32H

ST BIT P3.0

精品文档

OE BIT P3.1

EOC BIT P3.2

ORG 00H

LJMP START

ORG 0BH

LJMP T0X

ORG 30H

START: MOV CH,#0BCH

MOV DPCNT,#00H

MOV R1,#DPCNT

MOV R7,#5

MOV A,#10

MOV R0,#DPBUF

LOP: MOV @R0,A

INC R0

DJNZ R7,LOP

MOV @R0,#00H

INC R0

MOV @R0,#00H

INC R0

MOV @R0,#00H

MOV TMOD,#01H

MOV TH0,#(65536-4000)/256

MOV TL0,#(65536-4000) MOD 256

SETB TR0

SETB ET0

SETB EA

WT: CLR ST

SETB ST

CLR ST

WAIT: JNB EOC,WAIT

SETB OE

MOV GDATA,P0

CLR OE

MOV A,GDATA

MOV B,#100

DIV AB

MOV 33H,A

MOV A,B

MOV B,#10

DIV AB

MOV 34H,A

MOV 35H,B

SJMP WT

T0X: NOP

MOV TH0,#(65536-4000)/256

MOV TL0,#(65536-4000) MOD 256

MOV DPTR,#DPCD

MOV A,DPCNT

ADD A,#DPBUF

MOV R0,A

MOV A,@R0

MOVC A,@A+DPTR

MOV P1,A

MOV DPTR,#DPBT

MOV A,DPCNT

MOVC A,@A+DPTR

MOV P2,A

INC DPCNT

MOV A,DPCNT

CJNE A,#8,NEXT

MOV DPCNT,#00H

NEXT: RETI

DPCD: DB 3FH,06H,5BH,4FH,66H

DB 6DH,7DH,07H,7FH,6FH,00H

DPBT: DB 0FEH,0FDH,0FBH,0F7H

DB 0EFH,0DFH,0BFH,07FH

END

2)C语言源程序

#include

unsigned char code dispbitcode[]={0xfe,0xfd,0xfb,0xf7, 0xef,0xdf,0xbf,0x7f};

unsigned char code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66, 0x6d,0x7d,0x07,0x7f,0x6f,0x00};

unsigned char dispbuf[8]={10,10,10,10,10,0,0,0}; unsigned char dispcount;

sbit ST="P3"^0;

sbit OE="P3"^1;

sbit EOC="P3"^2;

unsigned char channel="0xbc";//IN3 unsigned char getdata;

void main(void)

{

TMOD=0x01;

TH0=(65536-4000)/256;

TL0=(65536-4000)%256;

TR0=1;

ET0=1;

EA=1;

P3=channel;

while(1)

{

ST=0;

ST=1;

ST=0;

while(EOC==0);

OE=1;

getdata=P0;

OE=0;

dispbuf[2]=getdata/100;

getdata=getdata%10;

dispbuf[1]=getdata/10;

dispbuf[0]=getdata%10;

}

}

void t0(void) interrupt 1 using 0 {

TH0=(65536-4000)/256;

TL0=(65536-4000)%256;

P1=dispcode[dispbuf[dispcount]]; P2=dispbitcode[dispcount]; dispcount++;

if(dispcount==8)

{

dispcount=0;

}

}

3)FPGA实现的程序:(verilog)

module AD0809(clk, //脉宽(至少100ns)

rst_n,

EOC, //约100us后EOC变为高电平转换结束

START, //启动信号,上升沿有效(至少100ns)

OE, //高电平打开三态缓冲器输出转换数据

ALE, //高电平有效,选择信道口

ADDA,//因为ADDB,ADDC都接地了,这里只有ADDA为变量

DATA,// //转换数据

DATA_R);

output START,OE,ALE,ADDA;

input EOC,clk,rst_n;

input[7:0] DATA;

output[7:0] DATA_R;

reg START,OE,ALE,ADDA;

reg[7:0] DATA_R;

reg[4:0] CS,NS;

parameter IDLE=5''b00001,START_H=5''b00010,START_L=5''b00100, CHECK_END=5''b01000,GET_DATA=5''b10000;

always @(*)

case(CS)

IDLE:

NS=START_H;

START_H:

NS=START_L;

START_L:

NS=CHECK_END;

CHECK_END:

if(EOC)

NS=GET_DATA;

else

NS=CHECK_END;

GET_DATA:

NS=IDLE;

default:

NS=IDLE;

endcase

always @(posedge clk)

if(!rst_n)

CS<=IDLE;

else

CS<=NS;

always @(posedge clk)

case(NS)

IDLE:

begin

OE<=0;

START<=0;

ALE<=0;ADDA<=1;

end

START_H:

begin

OE<=0;

START<=1; //产生启动信号

ALE<=1;ADDA<=1;//选择信道口IN0 end

START_L:

begin

OE<=0;

START<=0;

ALE<=1;//启动信号脉宽要足够长,在启动的时候ALE要一直有效

end

CHECK_END:

begin

OE<=0;

START<=0;

ALE<=0;

end

GET_DATA:

begin

OE<=1; //高电平打开三态缓冲器输出转换数据

DATA_R<=DATA;//提取转换数据

START<=0;

ALE<=0;

end

default:

begin

OE<=0;

START<=0;

ALE<=0;ADDA<=0;

end

endcase

endmodule

4)FPGA实现的程序:(VHDL)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY AD0809 IS

PORT( D: IN STD_LOGIC_VECTOR(7 DOWNTO 0);CLK,EOC: IN STD_LOGIC; CLOCK:IN STD_LOGIC;

ALE,START,OE,LOCK0: OUT STD_LOGIC;

DOUT:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);

SEL:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));

END AD0809;

ARCHITECTURE behav OF AD0809 IS

TYPE states IS (st0,st1,st2,st3,st4);

SIGNAL current_state,next_state:states:=st0; SIGNAL REGL :STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL LOCK :STD_LOGIC;

SIGNAL CNT1:STD_LOGIC_VECTOR(0 DOWNTO 0); SIGNAL A :INTEGER RANGE 0 TO 1;

SIGNAL LOWDATA:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL HIGHDATA:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL LOWLED7S:STD_LOGIC_VECTOR(6 DOWNTO 0); SIGNAL HIGHLED7S:STD_LOGIC_VECTOR(6 DOWNTO 0); BEGIN

LOCK0<=LOCK;

PROCESS(REGL)

BEGIN

LOWDATA<=REGL(3 DOWNTO 0);

HIGHDATA<=REGL(7 DOWNTO 4);

CASE LOWDATA IS

WHEN "0000" => LOWLED7S<="0111111";

WHEN "0001" => LOWLED7S<="0000110";

WHEN "0010" => LOWLED7S<="1011011";

WHEN "0011" => LOWLED7S<="1001111";

WHEN "0100" => LOWLED7S<="1100110";

WHEN "0101" => LOWLED7S<="1101101";

WHEN "0110" => LOWLED7S<="1111101";

WHEN "0111" => LOWLED7S<="0000111";

WHEN "1000" => LOWLED7S<="1111111";

WHEN "1001" => LOWLED7S<="1101111";

WHEN "1010" => LOWLED7S<="1110111";

WHEN "1011" => LOWLED7S<="1111100";

WHEN "1100" => LOWLED7S<="0111001";

WHEN "1101" => LOWLED7S<="1011110";

WHEN "1110" => LOWLED7S<="1111001";

WHEN "1111" => LOWLED7S<="1110001";

WHEN OTHERS => Null;

END CASE;

CASE HIGHDATA IS

WHEN "0000" => HIGHLED7S<="0111111";

WHEN "0001" => HIGHLED7S<="0000110";

WHEN "0010" => HIGHLED7S<="1011011";

WHEN "0011" => HIGHLED7S<="1001111";

WHEN "0100" => HIGHLED7S<="1100110";

WHEN "0101" => HIGHLED7S<="1101101";

WHEN "0110" => HIGHLED7S<="1111101";

WHEN "0111" => HIGHLED7S<="0000111";

WHEN "1000" => HIGHLED7S<="1111111";

WHEN "1001" => HIGHLED7S<="1101111";

WHEN "1010" => HIGHLED7S<="1110111";

WHEN "1011" => HIGHLED7S<="1111100";

WHEN "1100" => HIGHLED7S<="0111001";

WHEN "1101" => HIGHLED7S<="1011110";

WHEN "1110" => HIGHLED7S<="1111001";

WHEN "1111" => HIGHLED7S<="1110001";

WHEN OTHERS => Null;

END CASE;

END PROCESS;

PROCESS(CLOCK)

BEGIN

IF CLOCK'EVENT AND CLOCK='1' THEN CNT1<=CNT1+1; END IF;

END PROCESS;

PROCESS(CNT1)

BEGIN

CASE CNT1 IS

WHEN "0" =>SEL<="111"; A<=0;

WHEN "1" =>SEL<="110";A<=1;

WHEN OTHERS =>NULL;

END CASE;

END PROCESS;

PROCESS(A)

BEGIN

CASE A IS

WHEN 0 =>DOUT<=LOWLED7S;

WHEN 1 =>DOUT<=HIGHLED7S;

WHEN OTHERS =>NULL;

END CASE;

END PROCESS;

COM:PROCESS(current_state,EOC)

BEGIN

CASE current_state IS

WHEN st0=>ALE<='0';START<='0';LOCK<='1';OE<='0';next_state<=st 1;

WHEN st1=>ALE<='1';START<='0';LOCK<='1';OE<='0';next_state<=st 2;

WHEN st2=>ALE<='0';START<='1';LOCK<='0';OE<='0';

IF (EOC='1') THEN next_state<=st3;

ELSE next_state<=st2;

END IF;

WHEN st3=>ALE<='0';START<='0';LOCK<='0';OE<='1';next_state<=st 4;

WHEN st4=>ALE<='0';START<='0';LOCK<='1';OE<='1';next_state<=st 0;

WHEN OTHERS=>next_state<=st0;

END CASE;

END PROCESS COM;

REG: PROCESS(CLK)

BEGIN

IF(CLK'EVENT AND CLK='1') THEN current_state<=next_state; END IF;

END PROCESS REG;

LATCH1: PROCESS(LOCK)

BEGIN

IF LOCK='1' AND LOCK'EVENT THEN REGL<=D;

END IF;

END PROCESS LATCH1;

END behav;

数模模数转换实验报告

数模模数转换实验报告 一、实验目的 1、了解数模和模数转换电路的接口方法及相应程序设计方法。 2、了解数模和模数转换电路芯片的性能和工作时序。 二、实验条件 1、DOS操作系统平台 2、数模转换芯片DAC0832和模数转换器ADC0809芯片。 三、实验原理 1、数模转换: (1)微机处理的数据都是数字信号,而实际的执行电路很多都是模拟的。因此微机的处理结果又常常需要转换为模拟信号去驱动相应的执行单元,实现对被控对象的控制。这种把数字量转换为模拟量的设备称为数模转换器(DAC),简称D/A。 (2)实验中所用的数模转换芯片是DAC0832,它是由输入寄存器、DAC 寄存器和D/A 转换器组成的CMOS 器件。其特点是片内包含两个独立的8 位寄存器,因而具有二次缓冲功能,可以将被转换的数据预先存在DAC 寄存器中,同时又采集下一组数据,这就可以根据需要快速修改DAC0832 的输出。 2、模数转换: (1)在工程实时控制中,经常要把检测到的连续变化的模拟信号,如温度、压力、速度等转换为离散的数字量,才能输入计算机进行处理。实现模拟量到数字量转换的设备就是模数转换器(ADC),简称A/D。

(2)模数转换芯片的工作过程大体分为三个阶段:首先要启动模数转换过程。其次,由于转换过程需要时间,不能立即得到结果,所以需要等待一段时间。一般模数转换芯片会有一条专门的信号线表示转换是否结束。微机可以将这条信号线作为中断请求信号,用中断的方式得到转换结束的消息,也可以对这条信号线进行查询,还可以采用固定延时进行等待(因为这类芯片转换时间是固定的,事先可以知道)。最后,当判断转换已经结束的时候,微机就可以从模数转换芯片中读出转换结果。 (3)实验采用的是8 路8 位模数转换器ADC0809 芯片。ADC0809 采用逐次比较的方式进行A/D 转换,其主要原理为:将一待转换的模拟信号与一个推测信号进行比较,根据推测信号是大于还是小于输入信号来决定增大还是减少该推测信号,以便向模拟输入逼近。推测信号由D/A 转换器的输出获得,当推测信号与模拟信号相等时,向D/A 转换器输入的数字就是对应模拟信号的数字量。ADC0809 的转换时间为64 个时钟周期(时钟频率500K 时为128S)。分辨率为 8 位,转换精度为±LSB/2,单电源+5V 供电时输入模拟电压范围为04.98V。 四、实验内容 1、把DAC0832 的片选接偏移为10H 的地址,使用debug 命令来测试 DAC0832 的输出,通过设置不同的输出值,使用万用表测量Ua 和Ub 的模拟电压,检验DAC0832 的功能。选取典型(最低、最高和半量程等)的二进制值进行检验,记录测得的结果。实验结果记录如下:

模数转换模块地位与作用

模数转换模块地位与作用 模数转换模块简介: DAM-6160是模数转换模块,可采集16路单端模拟信号;模块采用高性能12位AD芯片,通过电路处理及软件特殊算法,采集测量精度优于±0.2%。模块配置有RS232接口,方便与PC或PLC通信,模块配置有RS485接口,可单独与PC或PLC通信,也可以与多个485模块组网使用。DAM-6160采用逐次逼近型模数转换器,分辨率为12位,通过特殊软件处理,分辨率可达14位,测量精度优于0.2%(典型值)。用户可通过简单的命令对模块进行现场校准,提高现场测量精度。能满足大多数的工业现场及安防、智能楼宇、智能家居、电力监控、过程控制等场合。产品针对工业应用设计:通过DC-DC变换,实现测量电路和主控电路电源隔离;同时控制单元与信号采集单元采用高性能磁隔离技术实现电气隔离,与一般的光电隔离相比数据通信更快更可靠。采用485/CAN隔离电路,将通信与系统单独隔离开,消除通信设备之间共模干扰。模块配有瞬态抑制电路,能有效抑制各种浪涌脉冲,保护模块在恶劣的环境下可靠工作。 模数转换模块参数: 输入通道数:16路单端输入 输入范围:+20mA,+5V,+10V,+24V 转换速率:40次/秒(全通道) AD转换分辨率:优于12位 测量精度:±0.2%(典型值) 输入端过压保护,过流保护,并有低通滤波 常模抑制(NMR):60dB 隔离耐压:DC2500V

ESD保护:±15KV 供电范围:DC+8~+36V 地址/波特率/量程可由用户配置 支持MODBUS-RTU协议和ASCII 支持模块主动发送数据模式 支持RS485,RS232支持定制CAN RS485隔离通信 功耗:小于1W 工作温度:-40℃~+80℃ 工业级V0级防火塑料外壳保障产品应用各类环境安全 安装方式:标准DIN35导轨安装 型号输入类型通道数通讯接口 60同系列其他型号: DAM-6010模拟量1AI RS485和RS232 DAM-6020模拟量2AI RS485和RS232 DAM-6040模拟量4AI RS485和RS232 DAM-6080模拟量8AI RS485和RS232 DAM-6084模拟量、开关量8AI+4IO RS485或RS232 DAM-6044模拟量、开关量4AI+4IO RS485或RS232 DAM-6160模拟量16AI RS485和RS232 模数转换模块接线: 所谓模拟量信号是指连续的,任何时刻可为任意一个数值的信号,例如我们常见的温度、压

电液转换器原理与调试

1 电液转换器原理与调试 电液转换器工作原理:(见图) 当信号电流I 为零时, 芯棒M 与滑阀O 处于左端极限位置, 压力油腔P 与控制油压A 之间节流口关闭。A 腔经阀芯中的内孔与回油腔相通,所以A 腔处于卸压状态。 当信号电流(I=4~20mA )增加时,芯棒M 在磁场作用力下,或比例地产生一个向右作用力F ,推动滑阀O 向右移动,使控制油腔A 与回油腔T 的流通面积减小,与压力油腔P 的流通面积增大,根据流量平衡原理,控制油压A 升高,随着油压A 的升高,与A 油腔相通的N 腔压力也升高。当产生的油压力f 与F 相抵消时,滑阀O 达到平衡,控制油压A 稳定。A 腔油压值即是成比例地对应输入信号的相应值。 当信号电流减小时,芯棒M 在磁场作用力下,产生一个向左作用力F 。这时,由于与A 油腔相通的N 腔油压力大于芯棒作用力,滑阀O 向左移动,使得控制油腔A 与回油腔T 的流通面积增大,与压力油腔P 的流通面积减小,控制油压A 降低。同时,N 腔油压亦降低,芯棒上的磁场力与油压力相等,滑阀达到平衡,控制油压A 稳定。 在手动工作状态,旋动手轮,经传动杆K 推动芯棒M 移动,即能调到所要求的控制油压A 。 一般对应4-20MA 控制电流输出的二次脉冲油压A 为0.15-0.45Mpa ,在这一段范围内控制特性的线形度较高。 电液转换器调试过程: 开 始 期 (允许范围20~30VDC) 电液转换器油温 和油压达到要求 带手轮形式的,将手轮转到最左面 根据设计检查电 和油压的连接 将空气从电磁阀 和液压件中排出 提供和测量进油压力(最大40bar) 供 电 源

2 否在最小和最大信号变化 时,输出电压是否改变 增加信号输出压力是否增加 是 否 是 提供系统最低的 模拟信号 测量输出压力 提 供 电 源 提供系统最高的模拟信号 利用电液转换器上电位器X1调整所需要的最高压力 提供系统最低 的模拟信号 利用电液转换器上电位器 X0调整所需要的最低压力 结 束

∑-△模数转换器的原理及应用

∑-△模数转换器的原理及应用 张中平 (东南大学微电子机械系统教育部重点实验室,南京210096) 摘要:∑-△模数转换器由于造价低、精度高、性能稳定及使用方便等特点,越来越广泛地使用在一些高精度仪器仪表和测量设备中,介绍该转换器的基本原理,并重点举例介绍AD7708芯片的应用,该芯片是16 bit模数转换器,与24 bit AD7718引脚相同,可直接升级。 关键词:模数转换器;寄存器;串行口 我们通常使用的模数转换器(ADC)大多为积分型和逐次逼近型,积分型转换效果不够好,转换过程中带来的误差比较大;逐次逼近型转换效果较好但制作成本较高,尤其是高位数转换,转换位数越多,精度越高,制作成本就越高。而∑-△ADC可以以相对逐次逼近型简单的电路结构,而得到低成本,高位数及高精度的转换效果∑-△ADC大多设计为16或24 bit转换精度。近几年来,在相关的高精度仪器制作领域该转换器得到了越来越广泛的应用[1]。 1 ∑-△ADC的基本工作原理简介 ∑-△模数转换器的工作原理简单的讲,就是将模数转换过后的数字量再做一次窄带低通滤波处理。当模拟量进入转换器后,先在调制器中做求积处理,并将模拟量转为数字量,在这个过程中会产生一定的量化噪声,这种噪声将影响到输出结果,因此,采用将转换过的数字量以较低的频率一位一位地传送到输出端,同时在这之间加一级低通滤波器的方法,就可将量化噪声过滤掉,从而得到一组精确的数字量[1,2]。 2 AD7708/AD7718,∑-△ADC的应用 AD7708/AD7718是美国ADI公司若干种∑ΔADC中的一种。其中AD7708为16 bit转换精度,AD7718为24 bit转换精度,同为28条引脚,而且相同引脚功能相同,可以互换。为方便起见,下面只介绍其中一种,也是我们工作中用过的AD7708。 2.1AD7708的工作原理 同其它智能化器件一样,AD7708也可以用软件来调节其所具有的功能,即通过微控制器MCU编程向AD7708的相应寄存器填写适当的参数。AD7708芯片中共有11个寄存器, 当模式寄存器(Mode Regis-ter)的最高位后,其工作方框图[2]如图1所示。

DAC_ADC模数及数模转换器的发展综述

DAC_ADC模数及数模转换器的发展综述 1 概述 随着数字技术,特别是计算机技术的飞速发展普及,在现代控制、通讯及检测领域中,对信号的处理广泛采用了数字计算机技术。由于系统的实际处理对象往往都是一些模拟量(如温度、压力、位移、图像等),要使计算机或数字仪表能识别和处理这些信号,必须首先将这些模拟信号转换成数字信号;而经计算机分析、处理后输出的数字量往往也需要将其转换成为相应的模拟信号才能为执行机构所接收。这样,就需要一种能在模拟信号与数字信号之间起桥梁作用的电路——模数转换电路或数模转换电路。 能将模拟信号转换成数字信号的电路,称为模数转换器(简称ADC转换器);而将能反数字信号转换成模拟信号的电路称为数模转换器(简称DAC转换器),ADC转换器和DAC 转换器已经成为计算机系统中不可缺少的接口电路。 2 数模转换电路 2.1 数模转换电路原理 数字量是用代码按数位组合起来表示的,对于有权码,每位代码都有一定的权。为了将数字量转换成模拟量,必须将每1位的代码按其权的大小转换成相应的模拟量,然后将这些模拟量相加,即可得到与数字量成正比的总模拟量,从而实现了数字—模拟转换。这就是构成DAC转换器的基本思路。 2.2 数模转换电路的主要性能指标 DAC转换器的主要性能指标有:转换速度、转换精度、抗干扰能力等。在选用D/A转换器时,一般应根据上述几个性能指标综合进行考虑。 2.3 二进制加权架构 从概念上讲,最简单的DAC采用的是二进制加权架构,在该架构中,将n个二进制加权元件(电流源、电阻器或电容器)进行组合以提供一个模拟输出(n = DAC分辨率)。这种架构虽然最大限度地减少了数字编码电路,但MSB和LSB加权之间的差异却随着分辨率的增加而增大,从而使得元件的精确匹配变得很困难。采用该架构的高分辨率DAC不仅难以制造,而且还对失配误差很敏感。 2.4 开尔文(Kelvin)分压器架构

AD转换技术的发展历程及其趋势

目录 1 引言 (3) 2 A/D转换器的发展历史 (3) 3 A/D转换技术的发展现状 (3) 3.1 全并行模拟/数字转换 (4) 3.2 两步型模拟/数字转换 (4) 3.3 插值折叠型模拟/数字转换 (5) 3.4 流水线型模拟/数字转换 (6) 3.5 逐次逼近型模拟/数字转换 (7) 3.6 Σ-Δ模拟/数字转换 (8) 4 A/D转换器的比较与分类 (9) 5 A/D转换技术的发展趋势 (10)

A/D转换电路的外特性研究以及A/D转换技术的发 展历程和趋势 1 引言 随着电子产业数字化程度的不断发展,逐渐形成了以数字系统为主体的格局。A/D转换器作为模拟和数字电路的接口,正受到日益广泛的关注。随着数字技术的飞速发展,人们对A/D转换器的要求也越来越高,新型的模拟/数字转换技术不断涌现。本文主要介绍了当前几种常用的A/D转换技术;并通过对数字技术发展近况的分析,探讨了A/D转换技术未来的发展趋势。 2 A/D转换器的发展历史 计算机、数字通讯等数字系统是处理数字信号的电路系统。然而,在实际应用中,遇到的大都是连续变化的模拟量,因此,需要一种接口电路将模拟信号转换为数字信号。A/D转换器正是基于这种要求应运而生的。1970年代初,由于MOS工艺的精度还不够高,所以模拟部分一般采用双极工艺,而数字部分则采用MOS工艺,而且模拟部分和数字部分还不能做在同一个芯片上。因此,A/D转换器只能采用多芯片方式实现,成本很高。1975年,一个采用NMOS工艺的10位逐次逼近型A/D转换器成为最早出现的单片A/D转换器。 1976年,出现了分辨率为11位的单片CMOS积分型A/D转换器。此时的单片集成A/D转换器中,数字部分占主体,模拟部分只起次要作用;而且,此时的MOS工艺相对于双极工艺还存在许多不足。1980年代,出现了采用BiCMOS工艺制作的单片集成A/D转换器,但是工艺复杂,成本高。随着CMOS工艺的不断发展,采用CMOS工艺制作单片A/D转换器已成为主流。这种A/D转换器的成本低、功耗小。1990年代,便携式电子产品的普遍应用要求A/D转换器的功耗尽可能地低。当时的A/D转换器功耗为mW级,而现在已经可以降到μW级。A/D转换器的转换精度和速度也在不断提高,目前,A/D转换器的转换速度已达到数百MSPS,分辨率已经达到24位。 3 A/D转换技术的发展现状 通常,A/D转换器具有三个基本功能:采样、量化和编码。如何实现这三个功能,决定

voith电液转换器使用说明书

VOITH 电液转换器使用说明书型号:DSG-BXX113 翻译:研发中心孙云超

目录 1.技术数据 (1) 2.安全指示 (3) 2.1 提示和标志的定义 2.2 正确使用 2.3 重要提示 2.4 担保 3.功能描述 (6) 3.1 设计 3.2 操作特点 4.包装、储存、运输 (7) 5.安装 (8) 5.1 组装 5.2 液压连接 5.3 电器连接 6. 试运行 (10) 6.1 运行检测 6.2 参数设定 7.操作 (11) 7.1 用手动旋钮操作 7.2 用设定信号操作 7.3 故障检修和排除 8. 维护和检修 (13) 9. 停机 (13) 10. 具有接线图的外部管线图 (14) 11. 附件 (15)

1.技术数据: 周围环境: 储存温度-40 (90) 工作环境温度-20 (85) 保护IP65 to EN 60529 适合于在工业空间内部安装 电气数据: 电压:24 VCD ±15% 电流:大约0.7A(对DSG-B05…DSG-B10型) 大约1A(对DSG-B30型) 最大3A 时间t ? 1 Sec 输入设置:0/4…20mA 输入阻抗大约25欧姆,具有抑制电路。 液压参数: 最小进口油压P in min: 1.5bar+最大输出P A max (对B05…B10型) 5bar+最大输出油压P A max (对B30型) 最大进口油压P in max :见表 压力流体:不易燃烧的原油或压力油油粘度:根据DIN51519,ISO VG32…ISO VG48 油温:+10℃ (70) 油纯度:根据NAS1638为7级 根据ISO4406为-/16/13级 泄漏量:当进口油压P in=10bar 时≤3 l/min (对DSG-B05… DSG-B10 ) 当进口油压P in=40bar 时≤5 l/min(对DSG-B30)

单片机AD模数转换实验报告

1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D转换程序。 5、启动A/D转换,将输入模拟量的转换结果在显示器上显示。 三、电路原理图。 图1、电路仿真图 四、实验程序流程框图和程序清单。

1、 查询法: ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB , LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: MOV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H END display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码送位选信号延时1ms 熄灭第四位数码管延时1ms 返回

∑-△模数转换器工作原理

∑-△ADC工作原理 越来越多的应用,例如过程控制、称重等,都需要高分辨率、高集成度和低价格的ADC、新型∑-△转换技术恰好可以满足这些要求。然而,很多设计者对于这种转换技术并不十分了解,因而更愿意选用传统的逐次比较ADC。∑-△转换器中的模拟部分非常简单(类似于一个1bit ADC),而数字部分要复杂得多,按照功能可划分为数字滤波和抽取单元。由于更接近于一个数字器件,∑-△ADC的制造成本非常低廉。 一、∑-△ADC工作原理 要理解∑-△ADC的工作原理,首先应对以下概念有所了解:过采样、噪声成形、数字滤波和抽取。 1.过采样 首先,考虑一个传统ADC的频域传输特性。输入一个正弦信号,然后以频率fs采样-按照Nyquist 定理,采样频率至少两倍于输入信号。从FFT分析结果可以看到,一个单音和一系列频率分布于DC到fs /2间的随机噪声。这就是所谓的量化噪声,主要是由于有限的ADC分辨率而造成的。单音信号的幅度和所有频率噪声的RMS幅度之和的比值就是信号噪声比(SNR)。对于一个Nbit ADC,SNR可由公式:SNR=6.02N+1.76dB得到。为了改善SNR和更为精确地再现输入信号,对于传统ADC来讲,必须增加位数。 如果将采样频率提高一个过采样系数k,即采样频率为Kfs,再来讨论同样的问题。FFT分析显示噪声基线降低了,SNR值未变,但噪声能量分散到一个更宽的频率范围。∑-△转换器正是利用了这一原理,具体方法是紧接着1bit ADC之后进行数字滤波。大部分噪声被数字滤波器滤掉,这样,RMS噪声就降低了,从而一个低分辨率ADC, ∑-△转换器也可获得宽动态范围。 那么,简单的过采样和滤波是如何改善SNR的呢?一个1bit ADC的SNR为7.78dB(6.02+1.76),每4倍过采样将使SNR增加6dB,SNR每增加6dB等效于分辨率增加1bit。这样,采用1bit ADC进行64倍过采样就能获得4bit分辨率;而要获得16bit分辨率就必须进行415倍过采样,这是不切实际的。∑-△转换器采用噪声成形技术消除了这种局限,每4倍过采样系数可增加高于6dB的信噪比。 2.噪声成形 通过图1所示的一阶∑-△调制器的工作原理,可以理解噪声成形的工作机制。 图1 ∑-△调制器 ∑-△调制器包含1个差分放大器、1个积分器、1个比较器以及1个由1bit DAC(1个简单的开关,可以将差分放人器的反相输入接到正或负参考电压)构成的反馈环。反馈DAC的作用是使积分器的平均输出电压接近于比较器的参考电平。调制器输出中“1”的密度将正比于输入信号,如果输入电压上升,比较器必须产生更多数量的“1”,反之亦然。积分器用来对误差电压求和,对于输入信号表现为一个低通滤波器,而对于量化噪声则表现为高通滤波。这样,大部分量化噪声就被推向更高的频段。和前面的简单过采样相比,总的噪声功率没有改变,但噪声的分布发生了变化. 现在,如果对噪声成型后的∑-△调制器输出进行数字滤波,将有可能移走比简单过采样中更多的噪声。这种调制器(一阶)在每两倍的过采样率下可提供9dB的SNR改善。

模数转换器原理

模数(A/D)转换器工作原理A/D转换器(Analog-to-Digital Converter)又叫模/数转换器,即是将模拟信号(电压或是电流的形式)转换成数字信号。这种数字信号可让仪表,计算机外设接口或是微处理机来加以操作或胜作使用。 A/D 转换器 (ADC)的型式有很多种,方式的不同会影响测量后的精准度。 A/D 转换器的功能是把模拟量变换成数字量。由于实现这种转换的工作原理和采用工艺技术不同,因此生产出种类繁多的A/D 转换芯片。 A/D 转换器按分辨率分为4 位、6 位、8 位、10 位、14 位、16 位和BCD码的31/2 位、51/2 位等。按照转换速度可分为超高速(转换时间=330ns),次超高速(330~3.3μS),高速(转换时间3.3~333μS),低速(转换时间>330μS)等。 A/D 转换器按照转换原理可分为直接A/D 转换器和间接A/D 转换器。所谓直接A/D 转换器,是把模拟信号直接转换成数字信号,如逐次逼近型,并联比较型等。其中逐次逼近型A/D 转换器,易于用集成工艺实现,且能达到较高的分辨率和速度,故目前集成化A/D 芯片采用逐次逼近型者多;间接A/D 转换器是先把模拟量转换成中间量,然后再转换成数字量,如电压/时间转换型(积分型),电压/频率转换型,电压/脉宽转换型等。其中积分型A/D 转换器电路简单,抗干扰能力强,切能作到高分辨率,但转换速度较慢。有些转换器还将多路开关、基准电压源、时钟电路、译码器和转换电路集成在一个芯片内,已超出了单纯A/D 转换功能,使用十分方便。 ADC 经常用于通讯、数字相机、仪器和测量以及计算机系统中,可方便数字讯号处理和信息的储存。大多数情况下,ADC 的功能会与数字电路整合在同一芯片上,但部份设备仍需使用独立的ADC。行动电话是数字芯片中整合ADC 功能的例子,而具有更高要求的蜂巢式基地台则需依赖独立的ADC 以提供最佳性能。 ADC 具备一些特性,包括: 1. 模拟输入,可以是单信道或多信道模拟输入; 2. 参考输入电压,该电压可由外部提供,也可以在ADC 内部产生; 3. 频率输入,通常由外部提供,用于确定ADC 的转换速率; 4. 电源输入,通常有模拟和数字电源接脚; 5. 数字输出,ADC 可以提供平行或串行的数字输出。在输出位数越多(分辨率越好)以及转换时间越快的要求下,其制造成本与单价就越贵。 一个完整的A/D转换过程中,必须包括取样、保持、量化与编码等几部分电路。 AD转换器需注意的项目: 取样与保持 量化与编码

单片机AD模数转换实验报告

一、实验目的和要求 1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D转换程序。 5、启动A/D转换,将输入模拟量的转换结果在显示器上显示。 三、电路原理图。 图1、电路仿真图

四、实验程序流程框图和程序清单。 1、 查询法: ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB P3.3, LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: MOV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码 送位选信号延时1ms 熄灭第四位数码管 延时1ms 返回

3、电液转换器

与505/505E配套使用的电液转换器为两种:VOITH和CPC 1、VOITH 1 - 控制磁性调节阀体P in -进口油压 2 –动力传输杆P A -输出信号油压 3 - ×0和×1电位计 4 - 手动操作旋钮T1-回油 5 - 电气接线T2 -回油 6 - 控制壳体F Mag -磁力 7 - 带阻尼活塞的控制活塞F Hyd-液压力 8 –端盖F Fed-弹簧力 9 –控制弹簧

手动操作旋钮的功能: 通过手动操作旋钮来控制电液转换器的磁铁,依靠这个旋钮,能设定一个可调的弹簧力以替代磁力F Mag。弹簧力通过电枢和传输杆控制活塞,液压力F Hyd与输出信号压力P A成正比,但作用力方向与弹簧力相反,这样输出压力的调节不需要电气就可实现。 用手动旋钮操作时,由电液转换器控制的液压元件的行程位移不受控制,其输出发生变化是由于输出信号压力的增加。 只有把弹性挡圈从手动操作旋钮上移开时才能手动操作。 完成手动操作以后,顺时针转动计数器,使手动操作旋钮回到原来位置,再把弹性档圈推到原来位置。 作用方向:顺时针旋转输出压力增加。 电位计的作用: ×0-在电位计×0 的帮助下,可以调节最小的输出压力P A min ,当设定值为4mA时。电位计顺时针旋转,压力增加。 ×1-在电位计×1 的帮助下,可以调节最大的输出压力P A max ,当设定值为20mA时。电位计顺时针旋转,压力增加。 电位计×1先于×0 调整。电位计×1的调节将影响×0的调整。4~20mA对应油压为0.15MPa~0.45MPa VOITH接线

CPC 压力输出大小(LEVEL) 此调整量改变压力的输出大小,调整它对各个点都起作用,顺时针调整将增大压力输出。 压力范围(RANGE) 此调整量改变压力输出的范围,即压力曲线的斜率,顺时针调整将增大压力输出曲线的斜率。

模数转换器工作原理、类型及主要技术指标

模数转换器工作原理、类型及主要技术指标 模数转换器(Analog to Digital Converter,简称A/D转换器,或ADC),通常是将模拟信号转变为数字信号。作为模拟电路中重要的元器件,本文将会介绍模数转换器的原理、分类及技术指标等基础知识。 ADC的发展随着电子技术的迅速发展以及计算机在自动检测和自动控制系统中的广泛应用,利用数字系统处理模拟信号的情况变得更加普遍。数字电子计算机所处理和传送的都是不连续的数字信号,而实际中遇到的大都是连续变化的模拟量,模拟量经传感器转换成电信号的模拟量后,需经模/数转换变成数字信号才可输入到数字系统中进行处理和控制,因而作为把模拟电量转换成数字量输出的接口电路-A/D转换器是现实世界中模拟信号向数字信号的桥梁,是电子技术发展的关键和瓶所在。 自电子管A/D转换器面世以来,经历了分立半导体、集成电路数据转换器的发展历程。在集成技术中,又发展了模块、混合和单片机集成数据转换器技术。在这一历程中,工艺制作技术都得到了很大改进。单片集成电路的工艺技术主要有双极工艺、CMOS工艺以及双极和CMOS相结合的BiCMOS工艺。模块、混合和单片集成转换器齐头发展,互相发挥优势,互相弥补不足,开发了适用不同应用要求的A/D和D/A转换器。近年来转换器产品已达数千种。 ADC原理D/A转换器是将输入的二进制数字量转换成模拟量,以电压或电流的形式输出。 模数转换一般要经过采样、保持和量化、编码这几个步骤。 ADC的主要类型目前有多种类型的ADC,有传统的并行、逐次逼近型、积分型ADC,也有近年来新发展起来的-型和流水线型ADC,多种类型的ADC各有其优缺点并能满足不同的具体应用要求。低功耗、高速、高分辨率是新型的ADC的发展方向,同时ADC的这一发展方向将适应现代数字电子技术的发展。 并行比较ADC 并行比较ADC是现今速度最快的模/数转换器,采样速率在1GSPS以上,通常称为闪烁

AD转换实验报告

A/D转换实验报告

摘要 本设计是利用AT89C51、ADC0809、CD4027芯片为核心,加以其他辅助电路实现对信号的A/D转换,其中以单片机AT89C51为核心控制A/D转换器。先是对信号进行采集,然后用ADC0809对信号实现从模拟量到数字量的转换。改变采样数据,调整电路,使其达到精确转换。

目录 1.方案设计与论证 (1) 1.1理论分析 (1) 1.2输出、输入方案选择 (1) 1.3显示方案 (2) 1.4时钟脉冲选择 (2) 2.硬件设计 (2) 2.1A/D转换器模块 (2) 2.2单片机模块 (3) 2.3JK触发器模块 (4) 3软件设计 (4) 4.仿真验证与调试 (5) 4.1测试方法 (5) 4.2性能测试仪器 (7) 4.4误差分析 (7) 5.设计总结及体会 (5) 附录(一)实物图 (6) 附录(二)软件程序 (6)

1.方案设计与论证 1.1理论分析 8位A/D转换由芯片内部的控制逻辑电路、时序产生器、移位寄存器、D/A转换器及电压比较器组成,它具有将模拟量转换成数字量的特性,其原理图如下: AD转换原理图(1) 1.2输出、输入方案选择 A/D转换器有多路选择器,可选择八路模拟信号IN0~IN7中的一路进入A/D转换。现在选择IN0通道作为输入,则对应的地址码位ADD C=0、ADD B=0、ADD A=0。当转换完成后,OE=1,打开三态输出锁存缓冲器,将转换数据从D7~D0口输出到单片机的P0端口。 IN口输入D端口输出 A/D转换器 图(2)

1.3显示方案 单片机控制数码管显示有两种动态和静态两种方法,由于静态控制数码管每次只能显示一位,造成资源浪费,所以选择动态扫描,并增加变换频率。 1.4时钟脉冲选择 方案一:可以直接用矩形波来控制 方案二:ALE通过JK触发器完成二分频,然后 Q端接CLK。因为晶振的频率是12MHz,ALE的频率为12NHz×1/6=2MHz,经过JK 触发器二分频后就是1MHz. 2.硬件设计 2.1 A/D转换器模块 A/D转换电路图(3) 模拟量从IN0端口输入,经电压比较器后输入到控制电路,转换后从D0~D7口输出,地址码位ADD C=0、ADD B=0、ADD A=0。OE 端输出允许控制信号,EOC转换结束控制信号,EOC=0,转换结束后EOC=1。START转换启动信号,上升沿将片内寄存器清零,下降

模数转换器ADC应用原理

AD0809应用原理--很全面的资料 1. 0809的芯片说明: ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的C MOS组件。它是逐次逼近式A/D转换器,可以和单片机直接接口。 (1)ADC0809的内部逻辑结构 由上图可知,ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。 (2).引脚结构 IN0-IN7:8条模拟量输入通道

ADC0809对输入模拟量要求:信号单极性,电压范围是0-5V,若信号太小,必须进行放大;输入的模拟量在转换过程中应该保持不变,如若模拟量变化太快,则需在输入前增加采样保持电路。 地址输入和控制线:4条 ALE为地址锁存允许输入线,高电平有效。当ALE线为高电平时,地址锁存与译码器将A,B,C三条地址线的地址信号进行锁存,经译码后被选中的通道的模拟量进转换器进行转换。A,B和C为地址输入线,用于选通IN0-IN7上的一路模拟量输入。通道选择表如下表所示。 C B A 选择的通道 000IN0 001IN1 010IN2 011IN3 100IN4 101IN5 110IN6 111IN7 数字量输出及控制线:11条 ST为转换启动信号。当ST上跳沿时,所有内部寄存器清零;下跳沿时,开始进行A /D转换;在转换期间,ST应保持低电平。EOC为转换结束信号。当EOC为高电平时,表明转换结束;否则,表明正在进行A/D转换。OE为输出允许信号,用于控制三条输出锁存器向单片机输出转换得到的数据。OE=1,输出转换得到的数据;OE =0,输出数据线呈高阻状态。D7-D0为数字量输出线。 CLK为时钟输入信号线。因ADC0809的内部没有时钟电路,所需时钟信号必须由外界提供,通常使用频率为500KHZ, VREF(+),VREF(-)为参考电压输入。 2.ADC0809应用说明 (1).ADC0809内部带有输出锁存器,可以与AT89S51单片机直接相连。(2).初始化时,使ST和OE信号全为低电平。 (3).送要转换的哪一通道的地址到A,B,C端口上。 (4).在ST端给出一个至少有100ns宽的正脉冲信号。 (5).是否转换完毕,我们根据EOC信号来判断。 (6).当EOC变为高电平时,这时给OE为高电平,转换的数据就输出给单片机了。 3.实验任务

AD_DA原理及主要技术指标

一.产生原因 随着现代科学技术的迅猛发展特别是数字系统已广泛应用于各种学科领域及日常生活微型计算机就是一个典型的数学系统。但是数字系统只能对输入的数字信号进行处理其输出信号也是数字信号。而在工业检测控制和生活中的许多物理量都是连续变化的模仿量如温度、压力、流量、速度等这些模拟量可以通过传感器或换能器变成与之对应的电压、电流或频率等电模拟量。为了实现数字系统对这些电模拟量进行检测、运算和控制就需要一个模拟量与数字量之间的相互转换的过程。即经常需要将模拟量转换成数字量简称为AD转换完成这种转换的电路称为模数转换器(Analog to Digital Converter) 简称ADC;或将数字量转换成模拟量简称DA转换完成这种转换的电路称为数模转换器(Digital to Anal og Converter) 简称DAC图1是某微机控制系统框图。 二.ADC和DAC基本原理及特点 2.1 模数转换器(ADC)的基本原理 模拟信号转换为数字信号一般分为四个步骤进行即取样、保持、量化和编码。前两个步骤在取样-保持电路中完成后两步骤则在ADC中完成。 常用的ADC有积分型、逐次逼近型、并行比较型/串并行型、Σ -Δ调制型、电容阵列逐次比较型及压频变换型。下面简要介绍常用的几种类型的基本原理及特点: 1)积分型(如TLC7135) 。 积分型ADC工作原理是将输入电压转换成时间或频率,然后由定时器/计数器获得数字值。其长处是用简朴电路就能获得高分辨率,但缺点是由于转换精度依靠于积分时间因此转换速率极低。 初期的单片ADC大多采用积分型,现在逐次比较型已逐步成为主流。双积分是一种常用的AD 转换技术具有精度高,抗干扰能力强等优点。但高精度的双积分AD芯片价格较贵,增加了单片机系统的成本。 2)逐次逼近型(如TLC0831) 。 逐次逼近型AD由一个比较器和DA转换器通过逐次比较逻辑构成从MSB开始顺序地对每一位将输入电压与内置DA转换器输出进行比较经n次比较而输出数字值。其电路规模属于中等。其优点是速度较高、功耗低在低分辨率( 12位)时价格很高。 3)并行比较型/串并行比较型(如TLC5510) 。 并行比较型AD采用多个比较器仅作一次比较而实现转换又称FLash型。由于转换速率极高n位的转换需要2n - 1个比较器因此电路规模也极大价格也高只适用于视频AD 转换器等速度非凡高的领域。串并行比较型AD结构上介于并行型和逐次比较型之间最典型的是由2个n /2位的并行型AD转换器配合DA转换器组成用两次比较实行转换所以称为Halfflash型。 二.4)Σ-Δ调制型(如AD7701) 。 Σ- Δ型ADC以很低的采样分辨率( 1位)和很高的采样速率将模拟信号数字化通过使用过采样、噪声整形和数字滤波等方法增加有效分辨率然后对ADC输出进行采样抽取处理以降低有效采样速率。Σ-Δ型ADC的电路结构是由非常简单的模拟电路和十分复杂的数字信号处理电路构成。 5)电容阵列逐次比较型。 电容阵列逐次比较型AD在内置DA转换器中采用电容矩阵方式也可称为电荷再分配型。一般的

数模转换器和模数转换器实验报告

实验报告 课程名称微机原理与接口技术 实验项目实验五 数/模转换器和模/数转换器实验实验仪器 TPC-USB通用微机接口实验系统 系别计算机系 专业网络工程 班级/学号 学生 _ 实验日期 成绩_______________________ 指导教师王欣

实验五数/模转换器和模/数转换器实验 一、实验目的 1. 了解数/模转换器的基本原理,掌握DAC0832芯片的使用方法。 2. 了解模/数转换器的基本原理,掌握ADC0809的使用方法。 二.实验设备 1.PC微机系统一套 2.TPC-USB通用微机接口实验系统一套 三.实验要求 1.实验前要作好充分准备,包括程序框图、源程序清单、调试步骤、测试方法、对运行结果的分析等。 2.熟悉与实验有关的系统软件(如编辑程序、汇编程序、连接程序和调试程序等)使用方法。在程序调试过程中,有意识地了解并掌握TPC-USB通用微机接口实验系统的软硬件环境及使用,掌握程序的调试及运行的方法技巧。 3.实验前仔细阅读理解教材相关章节的相关容,实验时必须携带教材及实验讲义。 四.实验容及步骤 (一)数/模转换器实验 1.实验电路原理如图1,DAC0832采用单缓冲方式,具有单双极性输入端(图中的Ua、Ub),编程产生以下锯齿波(从Ua和Ub输出,用示波器观察) 图1 实验连接参考电路图之一 编程提示: 1. 8位D/A转换器DAC0832的口地址为290H,输入数据与输出电压的关系为:

(UREF表示参考电压,N表示数数据),这里的参考电压为PC机的+5V电源。 2. 产生锯齿波只须将输出到DAC0832的数据由0循环递增。 3. 参考流程图(见图2): 图2 实验参考流程图之一 (二)模/数转换器 1. 实验电路原理图如图3。将实验(一)的DAC的输出Ua,送入ADC0809通道1(IN1)。 图3 实验连接参考电路图之二 2. 编程采集IN1输入的电压,在屏幕上显示出转换后的数据(用16进制数)。编程提示: 1. ADC0809的IN0口地址为298H,IN1口地址为299H。 2. IN0单极性输入电压与转换后数字的关系为:

AD-DA转换技术的发展历程及其趋势

AD/DA转换技术的发展历程及其趋势 引 言 随着电子产业数字化程度的不断发展,逐渐形成了以数字系统为主体的格局。A/D转换器作为模拟和数字电路的接口,正受到日益广泛的关注。随着数字技术的飞速发展,人们对A/D转换器的要求也越来越高,新型的模拟/数字转换技术不断涌现。本文着重介绍了当前几种常用的模拟/数字转换技术;并通过对数字技术发展近况的分析,探讨了模拟/数字转换技术未来的发展趋势。 A/D转换器的发展历史 计算机、数字通讯等数字系统是处理数字信号的电路系统。然而,在实际应用中,遇到的大都是连续变化的模拟量,因此,需要一种接口电路将模拟信号转换为数字信号。A/D转换器正是基于这种要求应运而生的。1970年代初,由于MOS工艺的精度还不够高,所以模拟部分一般采用双极工艺,而数字部分则采用MOS工艺,而且模拟部分和数字部分还不能做在同一个芯片上。因此,A/D转换器只能采用多芯片方式实现,成本很高。1975年,一个采用NMOS工艺的10位逐次逼近型A/D转换器成为最早出现的单片A/D转换器。 1976年,出现了分辨率为11位的单片CMOS积分型A/D转换器。此时的单片集成A/D 转换器中,数字部分占主体,模拟部分只起次要作用;而且,此时的MOS工艺相对于双极工艺还存在许多不足。1980年代,出现了采用BiCMOS工艺制作的单片集成A/D转换器,但是工艺复杂,成本高。随着CMOS工艺的不断发展,采用CMOS工艺制作单片A/D转换器已成为主流。这种A/D转换器的成本低、功耗小。1990年代,便携式电子产品的普遍应用要求A/D转换器的功耗尽可能地低。当时的A/D转换器功耗为mW级,而现在已经可以降到μW级。A/D转换器的转换精度和速度也在不断提高,目前,A/D转换器的转换速度已达到数百MSPS,分辨率已经达到24位。 模拟/数字转换技术的发展现状 通常,A/D转换器具有三个基本功能:采样、量化和编码。如何实现这三个功能,决定了A/D转换器的电路结构和工作性能。A/D转换器的类型很多,下面介绍几种目前常用的模拟/

相关文档
相关文档 最新文档