文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成原理 《8位指令系统结构(ISA)的设计和实现》

计算机组成原理 《8位指令系统结构(ISA)的设计和实现》

计算机组成原理 《8位指令系统结构(ISA)的设计和实现》
计算机组成原理 《8位指令系统结构(ISA)的设计和实现》

1 关于此次课程设计

1.1 课程设计目的

本课程设计是计算机科学与技术专业重要的实践性教学环节之一,是在学生学习完《计算机组成原理》课程后进行的一次全面的综合设计。目的是通过一个完整的8位指令系统结构(ISA)的设计和实现,加深对计算机组成原理课程内容的理解,建立起整机系统的概念,掌握计算机设计的基本方法,培养学生科学的工作作风和分析、解决实际问题的工作能力。

1.2课程设计内容及要求

基于TDN-CM++计算机组成原理实验教学系统,设计和实现一个8位指令系统结构(ISA),通过调试和运行,使设计的计算机系统能够完成指定的功能。

设计过程中要求考虑到以下各方面的问题:

(1)指令系统风格(寄存器-寄存器,寄存器-存储器,存储器-存储器);

(2)数据类型(无符号数,有符号数,整型,浮点型);

(3)存储器划分(指令,数据);

(4)寻址方式(立即数寻址,寄存器寻址,直接寻址等);

(5)指令格式(单字节,双字节,多字节);

(6)指令功能类别(算术/逻辑运算,存储器访问,寄存器操作,程序流控制,输入/输出)。

要求学生综合运用计算机组成原理、数字逻辑和汇编语言等相关课程的知识,理解和熟悉计算机系统的组成原理,掌握计算机主要功能部件的工作原理和设计方法,掌握指令系统结构设计的一般方法,掌握并运用微程序设计(Microprogramming)思想,在设计过程中能够发现、分析和解决各种问题,自行设计自己的指令系统结构(ISA)。

2 分析阶段

2.1 微指令格式分析

微指令格式如下表:

表2-1 微代码定义

(1)字段24~19控制运算器的控制端,通过改变S3~CN来决定对数据进行何种算术或逻辑运算。本设计中全部为正逻辑运算。

(2)字段18为控制对主存W/R的开关

Y1、Y2进行选择。

(4)字段15~7为A、B、C三个开关控制端。

A字段

B字段

C字段

(5)字段6~1为该条微程序的八位二进制后继地址,其决定顺序执行哪条微程序。

2.2指令译码电路分析

指令译码工作原理图如下:

图2.1 指令译码原理图

P(1) ~P(4)为低电平有效,当选用时该信号为零;I7 ~I2表示机器指令前六位;SE5 ~SE1表示能够强置改变入口地址的后五位。

根据上图得出指令译码器的逻辑表达式如下:

拟定机器指令通过上式即可算出每条子程序的入口地址。 2.3 寄存器译码电路分析

寄存器译码原理图如下。

LDB0

LDR1

LDR2

I1I0

LDRi

R0-B

R1-B

R2-B

RD-B

RS-B

RI-B

I3I2

图2.2 寄存器译码原理图

寄存器的输入、输出不仅决定于输入、输出开关,还与机器指令的后四位(即I3~I0)有关,由其决定哪个寄存器被选中。

2.3.1 寄存器的输入

LDRi 为寄存器的输入开关,且为低电平有效(即LDRi=0),I1、I0对寄存器进行选择,决定数据进入哪个寄存器。

2.3.2 寄存器的输出

RS-B 、RD-B 、RI-B 为寄存器的输出开关,且为低电平有效;I3、I2对寄存器进行选择,决定从哪个寄存器输出指令;从原理图上可以得出R2-B 的输出,若RI-B 有效则

无需关注I3、I2因而I3、I2可为任意状态。

2.4 时序分析

T1、T2、T3、T4为节拍控制端,本设计用了T4节拍控制端,当指令通过译码器P (1)时,P(1)对操作码进行测试,通过节拍脉冲T4的控制,以便识别所要求的操作。

图2.3 时序信号图

TS1时进行微程序控制器控制,TS2时进行微指令寄存器控制,TS时控制LDIR、LDAR,TS4时对P(1)、P(2)、P(3)、P(4)、AR、LOPC、LDRi、LDDR1、LDDR2

进行控制。

3 初步设计阶段

3.1 数据格式和机器指令描述

3.1.1 数据格式

本设计中所有需要处理的数据全部采用定点无符号整数表示,8个bit位,格式如下:

数据的范围是0~28,即0~255。

3.1.2 机器指令描述

机器指令描述见下表。

3.2 控制台微程序流程

3.2.1 公操作

对机器进行总清零CLR 1-0-1。

选中P(4),通过译码形成入口地址。

3.2.2 强置写

形成入口地址后,执行写操作。

1.

(1)打开PC-B 将数据送到总线上; (2)打开LDAR 将数据从总线流到AR 中; (3)打开LDPC ,让自动加1的数据进入PC 中。 2.

(1)打开SW-B 将数据送到总线上, (2)打开LDDR1将数据从总线流到DR1中。 3.

(1)打开ALU-B 将数据送到总线上,其间DR1中的数据相当于

在ALU 中做F=A 的运算;

(2)打开CE、WE置成01状态,将数据从总线流到主存相应地

址单元中,完成数据写操作。

3.2.3 强置读

1.

(1)打开PC-B将数据送到总线上;

(2)打开LDAR将数据从总线流到AR中;

(3)打开LDPC,让自动加1的数据进入PC中。

2.

(1)打开CE、WE置成00状态,将数据从主存送到总线上;

(2)打开LDDR1将数据从总线流到DR1中。

3.

(1)打开ALU-B将数据送到总线上,其间DR1中的数据相当于

在ALU中做F=A的运算;

(2)打开LED-B,数据从总线流到输出单元,在数码管上显示

出来,完成数据读操作。

3.2.4 执行程序

形成入口地址后,开始执行程序。

所有都为空操作,只是要通过后继地址转入到运行微程序的流

程中。

3.2.5 控制台指令

PC 计数器在用清零开关CLR 清零后,通过控制台开始进行机器指令的读写和执行,此处将00Q 的后继地址设为20Q (即010000)。此时只有P(4)有效,即P(4)=0,P(1)=P(2)=P(3)=1。

强置改变有一个特点,当SEi 为1时无效,不能改变;只有SEi 为0时,才能对该位上的数进行改变;但只能由0变成1,而不能逆转。

1. 强置写指令

当进行机器指令写入时,将SWB,SWA 置成01状态,通过指令译码器的逻辑表达式即可算出入口地址。

SE5=1 SE4=1 SE3=1 SE2=1 SE1=0

SE5~SE2均无效,只有SE1有效,即可得出010000改变为010001,即强置写的入口地址为21Q 。

2. 强制写指令

当进行机器指令写入时,将SWB,SWA置成00状态,通过指令译码器的逻辑表达式即可算出入口地址。

SE5=1

SE4=1

SE3=1

SE2=1

SE1=1

SE5~SE1均无效,不能对 010000进行改变,即强置写的入口地址为20Q。

3. 程序执行指令

当进行机器指令写入时,将SWB,SWA置成11状态,通过指令译码器的逻辑表达式即可算出入口地址。

SE5=1

SE4=1

SE3=1

SE2=0

SE1=0

SE5~SE3均无效,SE2和SE1有效,即可得出010000改变为010011,即强置写的入口地址为23Q。

3.2.6 控制台流程图

综合以上所有操作,即可得出控制台的总流程图。

图3.1 控制台流程图

3.3 机器指令初步设计

PC计数器在用清零开关CLR清零后,通过控制台进入机器指令执行状态,然后开始运行微程序。此时只有P(1)有效,即P(1)=0,P(2)=P(3)=P(4)=1,且SWB,SWA仍为11。此处将运行P(1)的上一步微指令的后继地址设为40Q(即100000),应用指令译码器的逻辑表达式对其进行改变得出微程序入口地址。由于决定入口地址的只有机器指令的前四位(即I7~I4),则通过拟定其先得出入口地址,并检验拟定的前四位是否合理,如下表所示。

表3-2 机器指令前四位

根据寄存器译码分析可以得出机器指令的后四位。

通过以上可得出完整的机器指令,如下表所示。

4 详细设计

4.1 各条指令的详细设计

4.1.1 公操作

从控制台强制执行流程,开始运行微程序。对运行微程序来说,所有的子流程都要运行取指的公操作,然后才能进行各自的操作。

1.

(1)打开PC-B ,将PC 中的数据(指令操作码地址)送到总线上; (2)打开LDAR 将数据从总线流到AR 中; (3)打开LDPC ,让自动加1的数据进入PC 中。 2.

(1)打开CE 、WE 置成00状态,对主存进行读操作,访问AR 中

保存地址的地址单元中指令;

(2)打开LDIR ,将主存中被访问的地址单元中指令送到IR ; (3)选中P(1),根据刚保存到IR 中的指令,通过指令译码器,形

成执行该指令的微程序入口地址。

4.1.2 IN 指令操作

完成公操作后,根据形成的入口地址运行IN 指令操作。

(1)打开SW-B ,将“INPUT DEVICE ”中输入的数据流到总线上; (2)打开LDRi ,根据IN 指令的后两位决定让数据从总线上进入到哪个目的寄存器R2中。

4.1.3 LW 指令操作

完成公操作后,根据形成的入口地址运行LW 指令操作。 1.

(1)打开PC-B ,将PC 中的数据(指令地址码地址)送到总线上;

(2)打开LDAR 将数据从总线流到AR 中; (3)打开LDPC ,让自动加1的数据进入PC 中。 2.

(1)打开CE 、WE 置成00状态,将数据(指令地址码)从主存

送到总线上;

(2)打开LDAR 将数据从总线流到AR 中。 3.

(1)打开CE 、WE 置成00状态,根据AR 中保存的指令地址码,

在主存相同地址单元中,读出其中保存的操作数,并放置到总线上;

(2)打开LDRi ,根据IN 指令的后两位决定让数据从总线上进入

到哪个目的寄存器R0中。

4.1.4 MOV 指令操作

完成公操作后,根据形成的入口地址运行MOV 指令操作。

(1)打开RS-B ,根据MOV 指令的I3、I2决定打开哪个原始寄存器R2 输出门让其中保存的数据,流到总线上;

(2)打开LDRi ,根据指令的后两位决定打开哪个目的寄存器R0 输入门,让数据从总线上进入到哪个R0中。

4.1.5 SUB 指令操作

完成公操作后,根据形成的入口地址运行SUB 指令操作。

1.

(1)打开PC-B ,将PC 中的数据(指令地址码地址)送到总 线上;

(2)打开LDAR 将数据从总线流到AR 中; (3)打开LDPC ,让自动加1的数据进入PC 中。 2.

(1)打开CE 、WE 置成00状态,将数据(指令地址码)从 主存送到总线上;

(2)打开LDAR将数据从总线流到AR中。

3.

(1)打开CE、WE置成00状态,根据AR中保存的指令地址

码,在主存相同地址单元中,读出其中保存的操作数,并

放置到总线上;

(2)打开LDDR2将数据从总线流到DR2中。

4.

(1)打开RS-B,根据MOV指令的I3、I2决定打开哪个原始

寄存Rs输出门让其中保存的操作数,流到总线上;

(2)打开LDDR1,让操作数经由总线进入到DR1中。

5.

(1)将ALU的控制端S3~CN置成F=A-B,对两数进行运算,

打开ALU-B将运算结果送到总线上;

(2)打开LDRi,根据指令的后两位决定打开哪个目的寄存器

R0的输入门,让数据从总线上进入到哪个R0中。

4.1.6 OR指令操作

完成公操作后,根据形成的入口地址运行OR指令操作。

1.

(1)打开PC-B ,将PC 中的数据(指令地址码地址)送到总线 上; (2)打开LDAR 将数据从总线流到AR 中; (3)打开LDPC ,让自动加1的数据进入PC 中。 2.

(1)打开CE 、WE 置成00状态,将数据(指令地址码)从主存 送到总线上;

(2)打开LDAR 将数据从总线流到AR 中。 3.

(1)打开CE 、WE 置成00状态,根据AR 中保存的指令地址码,

在主存相同地址单元中,读出其中保存的操作数,并放置到总线上;

(2)打开LDDR2将数据从总线流到DR2中。 4.

(1)打开RS-B ,根据MOV 指令的I3、I2决定打开哪个原始寄

存器Rs 输出门让其中保存的操作数,流到总线上; (2)打开LDDR1,让操作数经由总线进入到DR1中。

计算机组成原理第五版 白中英(详细)第5章习题参考答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是(IR ); (2)保存当前正在执行的指令地址的寄存器是(AR ) (3)算术逻辑运算结果通常放在(DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理试题及答案

2. (2000)10化成十六进制数是______。 A.(7CD)16 B.(7D0)16 C.(7E0)16 D.(7F0)16 3. 下列数中最大的数是______。 A.(10011001)2 B.(227)8 C.(98)16 D.(152)10 4. ______表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是______。 A. BCD码 B. 16进制 C. 格雷码 D. ASCⅡ码 6. 下列有关运算器的描述中,______是正确的。 A.只做算术运算,不做逻辑运算 B. 只做加法 C.能暂时存放运算结果 D. 既做算术运算,又做逻辑运算 7. EPROM是指______。 A. 读写存储器 B. 只读存储器 C. 可编程的只读存储器 D. 光擦除可编程的只读存储器 8. Intel80486是32位微处理器,Pentium是______位微处理器。 A.16B.32C.48D.64 9. 设[X]补=1.x1x2x3x4,当满足______时,X > -1/2成立。 A.x1必须为1,x2x3x4至少有一个为1 B.x1必须为1,x2x3x4任意 C.x1必须为0,x2x3x4至少有一个为1 D.x1必须为0,x2x3x4任意 10. CPU主要包括______。 A.控制器 B.控制器、运算器、cache C.运算器和主存 D.控制器、ALU和主存 11. 信息只用一条传输线,且采用脉冲传输的方式称为______。 A.串行传输 B.并行传输 C.并串行传输 D.分时传输 12. 以下四种类型指令中,执行时间最长的是______。 A. RR型 B. RS型 C. SS型 D.程序控制指令 13. 下列______属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D.文本处理 14. 在主存和CPU之间增加cache存储器的目的是______。 A. 增加内存容量 B. 提高内存可靠性 C. 解决CPU和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用______作为存储芯片。 A. SRAM B. 闪速存储器 C. cache D.辅助存储器 16. 设变址寄存器为X,形式地址为D,(X)表示寄存器X的内容,这种寻址方式的有效地址为______。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为______。 1

计算机组成原理第八章课后部分答案

计算机组成原理第八章课后部分答案

8.1CPU 有哪些功能?画出其结构框图并简要说明每个部件的作用。 解:CPU的主要功能是执行存放在主存储器中的程序即机器指令.CPU是由 控制器和运算器. ALU:实现算逻运算 寄存器:存放操作数 CU :发出各种操作命令序列的控制部件 中断系统:处理异常情况和特殊请求 8.2什么是指令周期?指令周期是否有一个固定值?为什么?解:指令周 期:指取出并执行完一条指令所需的时间。 由于计算机中各种指令执行所需的时间差异很大,因此为了提高 CPU 运行效率,即使在同步控制的机器中,不同指令的指令周期长 度都是不一致的,也就是说指令周期对于不同的指令来说不是一个 固定值。

8.3画出指令周期的流程图,分别说明图中每个子周期的作用。 解:

指令周期流程图 取指周期:取指令间址周期:取有效地址执行周期:取操作数(当指令为访存指令时)中断周期:保存程序断点 8.4设CPU内有这些部件:PC、IR、SP、AC、MAR、MDR、CU。 (1)画出完成简洁寻址的取数指令“ LDA@”X(将主存某地址单元的内容取至AC中)的数据流(从取指令开始)。 (2)画出中断周期的数据流。解:CPU中的数据通路结构方式有直接连线、单总线、双总线、三总线等形式,目前大多采用总线结构,直接连线方式仅适用于结构特别简单的机器中。 下面采用单总线形式连接各部件,框图如下:

MAR PC Bus CU IR SP AC 线 址 地 MDR 1) 图: MDR→AC 2)中断周期流程图如 下:

SP-1→SP 8.7 什么叫系统的并行性?粗粒度并行和细粒度并行有什么区别?解:并行 性:包含同时性和并发性。同时性指两个或两个以上的事件在同一时刻发生,并发性指两个或多个事件在同一时间段发生。即在同一时刻或同一时间段内完成两个或两个以上性质相同或性质不同的功能,只要在时间上存在 相互重叠,就存在并行性。 粗粒度并行是指多个处理机上分别运行多个进程,由多台处理机合作完成一个程序,一般算法实现。 细粒度并行是指在处理机的指令级和操作级的并行性。 8.8 什么是指令流水?画出指令二级流水和四级流水的示意图,它们中哪一个 更能提高处理器速度,为什么?解:指令流水:指将一条指令的执行过程分为n 个操作时间大致相等的阶段,每个阶段由一个独立的功能部件来完成,这样n 个部件可以同时执行n 条指令的不同阶段,从而大大提高 CPU的吞吐率。 指令二级流水和四级流水示意图如下: (3)CPU 在什么条件、什么时候、以什么方式来响应中断

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

计算机组成原理第五章单元测试(含答案)

第五章指令系统测试 1、以下四种类型指令中,执行时间最长的是()(单选) A、RR型指令 B、RS型指令 C、SS型指令 D、程序控制类指令 2、程序控制类指令的功能是()(单选) A、进行算术运算和逻辑运算 B、进行主存与CPU之间的数据传送 C、进行CPU和I/O设备之间的数据传送 D、改变程序执行的顺序 3、单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个常需采用的寻址方式是( )(单选) A、立即数寻址 B、寄存器寻址 C、隐含寻址 D、直接寻址 4、下列属于指令系统中采用不同寻址方式的目的主要是()(单选) A、为了实现软件的兼容和移植 B、缩短指令长度,扩大寻址空间,提高编程灵活性 C、为程序设计者提供更多、更灵活、更强大的指令 D、丰富指令功能并降低指令译码难度 5、寄存器间接寻址方式中,操作数存放在()中(单选) A、通用寄存器 B、主存 C、数据缓冲寄存器MDR D、指令寄存器 6、指令采用跳跃寻址方式的主要作用是() (单选) A、访问更大主存空间 B、实现程序的有条件、无条件转移 C、实现程序浮动 D、实现程序调用 7、下列寻址方式中,有利于缩短指令地址码长度的是()(单选) A、寄存器寻址 B、隐含寻址 C、直接寻址

D、间接寻址 8、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数的有效地址为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 9、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 10、某计算机按字节编址,采用大端方式存储信息。其中,某指令的一个操作数的机器数为ABCD 00FFH,该操作数采用基址寻址方式,指令中形式地址(用补码表示)为FF00H,当前基址寄存器的内容为C000 0000H,则该操作数的LSB(即该操作数的最低位FFH)存放的地址是( ) (单选) A、C000 FF00H B、C000 FF03H C、BFFF FF00H D、BFFF FF03H 11、假定指令地址码给出的是操作数所在的寄存器的编号,则该操作数采用的寻址方式是( )(单选) A、直接寻址 B、间接寻址 C、寄存器寻址 D、寄存器间接寻址 12、相对寻址方式中,操作数有效地址通过( )与指令地址字段给出的偏移量相加得到(单选) A、基址寄存器的值 B、变址寄存器的值 C、程序计数器的值 D、段寄存器的值 13、下列关于二地址指令的叙述中,正确的是( ) (单选) A、运算结果通常存放在其中一个地址码所指向的位置 B、地址码字段一定是操作数 C、地址码字段一定是存放操作数的寄存器编号

计算机组成原理试题及答案

计算机组成原理题集含答案 题库题目总数:293 第一章单选题 1、控制器、运算器和存储器合起来一般称为(主机): I/O部件 内存储器 外存储器 主机 2、冯?诺依曼机工作方式的基本特点是(按地址访问并顺序执行指令):按地址访问并顺序执行指令 精确结果处理 存储器按内部地址访问 自动工作 3、输入、输出设备以及辅助存储器一般统称为(外围设备): I/O系统 外围设备 外存储器 执行部件 4、计算机硬件能直接识别和执行的语言是(机器语言): 高级语言 汇编语言 机器语言 符号语言 判断题

5、若某计算机字代表一条指令或指令的一部分,则称数据字(错)。 6、若某计算机字是运算操作的对象,即代表要处理的数据,则称指令字(错)。 7、数字计算机的特点:数值由数字量(如二进制位)来表示,运算按位进行。(对) 8、模拟计算机的特点:数值由连续量来表示,运算过程是连续的。(对) 填空题 9、系统软件包括:服务程序、语言程序、(操作系统)、数据库管理系统。 10、计算机系统的发展按其核心部件采用器件技术来看经历了五代的变化,分别是(电子管)、(晶体管)、(集成电路)、(大规模集成电路)、(巨大规模集成电路)五个部分。 11、计算机系统是一个由硬件和软件组成的多级层次结构,这通常由(微程序级)、(一般机器级)、(操作系统级)、(汇编语言级)和(高级语言级)等组成,在每一级上都可以进行(程序设计)。 12、计算机的软件一般分为(系统软件)和(应用软件)两大部分。 13、计算机的硬件基本组成包括(控制器)、(运算器)、(存储器)、(输入设备)和(输出设备)五个部分。 简答题 14、什么是存储容量?什么是单元地址? 存储器所有存储单元的总数称为存储器的存储容量。灭个存储单元都有编号,称为单元地址。 15、什么是外存?简述其功能。 外存:为了扩大存储容量,又不使成本有很大的提高,在计算机中还配备了存储容量更大的磁盘存储器和光盘存储器,称为外存储器,简称外存。外存可存储大量的信息,计算机需要使用时,再调入内存。 16、什么是内存?简述其功能。 内存:一般由半导体存储器构成,装在底版上,可直接和CPU交换信息的存储器称为内存储器,简称内存。用来存放经常使用的程序和数据。。 17、指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 取指周期中从内存读出的信息流是指令流,而在执行器周期中从内存读出的信息流是数据流。 18、什么是适配器?简述其功能。 适配器是外围设备与主机联系的桥梁,它的作用相当于一个转换器,使主机和外围设备并行协调的工作。

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计算机组成原理试卷及答案

计算机组成原理试题及答案 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.若十进制数据为137.5则其八进制数为(B )。 A、89.8 B、211.4 C、211.5 D、1011111.101 2.若x补=0.1101010,则x原=(A )。 A、1.0010101 B、1.0010110 C、0.0010110 D、0.1101010 3.若采用双符号位,则发生正溢的特征是:双符号位为(B)。 A、00 B、01 C、10 D、11 4.原码乘法是(A )。 A、先取操作数绝对值相乘,符号位单独处理 B、用原码表示操作数,然后直接相乘 C、被乘数用原码表示,乘数取绝对值,然后相乘 D、乘数用原码表示,被乘数取绝对值,然后相乘 5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 6.下列数中,最小的数是(A)。 A.(101001)2B.(52)8C.(2B)16D.45 7.下列数中,最大的数是(D)。 A.(101001)2B.(52)8C.(2B)16D.45 8.下列数中,最小的数是(D)。 A.(111111)2B.(72)8C.(2F)16D.50 9.已知:X=-0.0011,Y= -0.0101。(X+Y)补= ( A)。 A.1.1100B.1.1010 C.1.0101D.1.1000 10.一个512KB的存储器,地址线和数据线的总和是(C )。 A.17 B.19C.27D.36 11.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。 A.64K B.32KB C.32K D.16KB 12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 12.计算机内存储器可以采用(A)。 A.RAM和ROM B.只有ROM C.只有RAM D.RAM和SAM 13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。 A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式 14.零地址运算指令在指令格式中不给出操作数地址,因此它的操作数来自(B)。 A.立即数和栈顶 B.栈顶和次栈顶 C.暂存器和栈顶 D.寄存器和内存单元 15.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度 16.用于对某个寄存器中操作数的寻址方式称为( C)寻址。 A.直接 B.间接 C.寄存器直接 D.寄存器间接 17.寄存器间接寻址方式中,操作数处在( B )。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 18.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路

计算机组成原理实验七

图16 启停单元布局图 序电路由1片74LS157、2片74LS00、4个LED PLS2、PLS3、PLS4)组成。当LED发光时 图17

图17 时序单元布局图 (二)启停、脉冲单元的原理 1.启停原理:(如图18) 启停电路由1片7474组成,当按下RUN按钮,信号输出RUN=1、STOP=0,表示当前实验机为运行状态。当按下STOP 按钮,信号RUN=0、STOP=1,表示当前实验机为停止状态。当 系统处于停机状态时,微地址、进位寄存器都被清零,并且可 通过监控单元来读写内存和微程序。在停止状态下,当HALT 时有一个高电平,同时HCK有一个上升沿,此时高电平被打入 寄存器中,信号输出RUN=1、STOP=0,使实验机处于运行状态。

图18 启停单元原理图 2.时序电路: 时序电路由监控单元来控制时序输出(PLS1、PLS2、PLS3、PLS4)。实验所用的时序电路(如图19)可产生4个等间隔的时序信号PLS1、PLS2、PLS3、PLS4。为了便于监控程序流程,由监控单元输出PO信号和SIGN脉冲来实现STEP(微单步)、GO (全速)和HALT(暂停)。当实验机处于运行状态,并且是微单步执行,PLS1、PLS2、PLS3、PLS4分别发出一个脉冲,全速执行时PLS1、PLS2、PLS3、PLS4脉冲将周而复始的发送出去。在时序单元中也提供了4个按钮,实验者可手动给出4个独立的脉冲,以便实验者单拍调试模型机。

图19 时序电路图 实验步骤 1.交替按下“运行”和“暂停”,观察运行灯的变化(运行:RUN 亮;暂停:RUN灭)。 2.把HALT信号接入二进制拨动开关,HCK接入脉冲单元的PLS1。按下表接线 接入开关位号 信号定 义 HCK PLS1孔 HALT H13孔 3.按启停单元中的停止按钮,置实验机为停机状态,HALT=1。 4.按脉冲单元中的PLS1脉冲按键,在HCK上产生一个上升

计算机组成原理试题及答案

《计算机组成原理》试题 一、(共30分) 1.(10分) (1)将十进制数+107/128化成二进制数、八进制数和十六进制数(3分) (2)请回答什么是二--十进制编码?什么是有权码、什么是无权码、各举一个你熟悉的有权码和无权码的例子?(7分) 2.已知X=0.1101,Y=-0.0101,用原码一位乘法计算X*Y=?要求写出计算过程。(10分) 3.说明海明码能实现检错纠错的基本原理?为什么能发现并改正一位错、也能发现二位错,校验位和数据位在位数上应满足什么条件?(5分) 4.举例说明运算器中的ALU通常可以提供的至少5种运算功能?运算器中使用多累加器的好处是什么?乘商寄存器的基本功能是什么?(5分) 二、(共30分) 1.在设计指令系统时,通常应从哪4个方面考虑?(每个2分,共8分) 2.简要说明减法指令SUB R3,R2和子程序调用指令的执行步骤(每个4分,共8分) 3.在微程序的控制器中,通常有哪5种得到下一条指令地址的方式。(第个2分,共10分) 4.简要地说明组合逻辑控制器应由哪几个功能部件组成?(4分) 三、(共22分) 1.静态存储器和动态存储器器件的特性有哪些主要区别?各自主要应用在什么地方?(7分) 2.CACHE有哪3种基本映象方式,各自的主要特点是什么?衡量高速缓冲存储器(CACHE)性能的最重要的指标是什么?(10分) 3.使用阵列磁盘的目的是什么?阵列磁盘中的RAID0、RAID1、RAID4、RAID5各有什么样的容错能力?(5分) 四、(共18分) 1.比较程序控制方式、程序中断方式、直接存储器访问方式,在完成输入/输出操作时的优缺点。(9分) 2.比较针式、喷墨式、激光3类打印机各自的优缺点和主要应用场所。(9分) 答案 一、(共30分) 1.(10分) (1) (+107/128)10 = (+1101011/10000000)2 = (+0.1101011)2 = (+0.153)8 = (+6B)16 (2) 二-十进制码即8421码,即4个基2码位的权从高到低分别为8、4、2、1,使用基码的0000,0001,0010,……,1001这十种组合分别表示0至9这十个值。4位基二码之间满足二进制的规则,而十进制数位之间则满足十进制规则。 1

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

计算机组成原理试卷及答案

一、选择题 1.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校校 验的字符码是______。 A 11001011 B 11010110 C 11000001 D 11001001 2.8位定点字长的字,采用2的补码表示时,一个字所能表示的整 数范围是______。 A .–128 ~ +127 B. –127 ~ +127 C. –129 ~ +128 D.-128 ~ +128 3.下面浮点运算器的描述中正确的句子是:______。 a)浮点运算器可用阶码部件和尾数部件实现 b)阶码部件可实现加、减、乘、除四种运算 c)阶码部件只进行阶码相加、相减和比较操作 d)尾数部件只进行乘法和减法运算 4.某计算机字长16位,它的存贮容量是64KB,若按字编址,那 么它的寻址范围是______ A. 64K B. 32K C. 64KB D. 32 KB 5.双端口存储器在______情况下会发生读/写冲突。 a)左端口与右端口的地址码不同 b)左端口与右端口的地址码相同 c)左端口与右端口的数据码不同 d)左端口与右端口的数据码相同 6.寄存器间接寻址方式中,操作数处在______。 A. 通用寄存器 B. 主存单元 C. 程序计数器 D. 堆栈 7.微程序控制器中,机器指令与微指令的关系是______。 a)每一条机器指令由一条微指令来执行 b)每一条机器指令由一段微指令编写的微程序来解释执行 c)每一条机器指令组成的程序可由一条微指令来执行 d)一条微指令由若干条机器指令组 8.按其数据流的传递过程和控制节拍来看,阵列乘法器可认为是 ______。 a)全串行运算的乘法器 b)全并行运算的乘法器 c)串—并行运算的乘法器 d)并—串型运算的乘法器 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的时 间较长,因此机器周期通常用______来规定。 a)主存中读取一个指令字的最短时间 b)主存中读取一个数据字的最长时间 c)主存中写入一个数据字的平均时间

计算机组成原理全部实验.

计算机科学技术系王玉芬2012年11月3日

基础实验部分该篇章共有五个基础实验组成,分别是: 实验一运算器实验 实验二存储器实验 实验三数据通路组成与故障分析实验 实验四微程序控制器实验 实验五模型机CPU组成与指令周期实验

实验一运算器实验 运算器又称作算术逻辑运算单元(ALU),是计算机的五大基本组成部件之一,主要用来完成算术运算和逻辑运算。 运算器的核心部件是加法器,加减乘除运算等都是通过加法器进行的,因此,加快运算器的速度实质上是要加快加法器的速度。机器字长n位,意味着能完成两个n位数的各种运算。就应该由n个全加器构成n位并行加法器来实现。通过本实验可以让学生对运算器有一个比较深刻的了解。 一、实验目的 1.掌握简单运算器的数据传输方式。 2.掌握算术逻辑运算部件的工作原理。 3. 熟悉简单运算器的数据传送通路。 4. 给定数据,完成各种算术运算和逻辑运算。 二、实验内容: 完成不带进位及带进位的算术运算、逻辑运算实验。 总结出不带进位及带进位运算的特点。 三、实验原理: 1.实验电路图

图4-1 运算器实验电路图

2.实验数据流图 图4-2 运算器实验数据流图 3.实验原理 运算器实验是在ALU UNIT 单元进行;单板方式下,控制信号,数据,时序信号由实验仪的逻辑开关电路和时序发生器提供,SW7-SW0八个逻辑开关用于产生数据,并发送到总线上;系统方式下,其控制信号由系统机实验平台可视化软件通过管理CPU 来进行控制,SW7-SW0八个逻辑开关由可视化实验平台提供数据信号。 (1)DR1,DR2:运算暂存器, (2)LDDR1:控制把总线上的数据打入运算暂存器DR1,高电平有效。 (3)LDDR2:控制把总线上的数据打入运算暂存器DR2,高电平有效。 (4)S3,S2,S1,S0:确定执行哪一种算术运算或逻辑运算(运算功能表见附录1或者课本第49页)。 (5)M :M =0执行算术操作;M =1执行逻辑操作。 (6)/CN :/CN =0表示ALU 运算时最低位加进位1;/CN =1则表示无进位。 (7)ALU -BUS :控制运算器的运算结果是否送到总线BUS ,低电平有效。 (8)SW -BUS :控制8位数据开关SW7-SW0的开关量是否送到总线,低电平有效。 四、实验步骤: 实验前首先确定实验方式(是手动方式还是系统方式),如果在做手动方式实验则将方式选择开关置手动方式位置(31个开关状态置成单板方式)。实验箱已标明手动方式和系统方式标志。所有的实验均由手动方式来实现。如果用系统方式,则必须将系统软件安装到系统机上。将方式标志置系统模式位置。学生所做的实验均在系统机上完成。其中包括高 ALU DR1 DR2 LDDR1 T4 LDDR2 T4 S1 S2 M0 S0 CN S3

计算机组成原理习题 第五章

第五章 一.填空题 1.控制器由于设计方法的不同可分为型、型和型控制器。 2.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 3.微程序控制的计算机中的控制存储器CM是用来存放的。 4.在微指令的字段编码法中,操作控制字段的分段并非是任意的,必须遵循的分段原则中包括:①把性的微命令分在同一段内;②一般每个小段要留出一个状态,表示。 5.微指令分为和微指令两类,微指令可以同时执行若干个微操作,所以执行机器指令的速度比微指令快。 6.在CPU中,指令寄存器的作用是,其位数取决于;程序计数器的作用是,其位数取决于。 7.指令周期是,最基本的指令周期包括和。 8.根据CPU访存的性质不同,可将CPU的工作周期分为、、和。 9.在CPU中保存当前正在执行的指令的寄存器是,保存下一条指令地址的寄存器是,保存CPU访存地址的寄存器是。 10.中断判优可通过和实现,前者速度更快。 11.中断服务程序的入口地址可通过和寻找。 12.在硬件向量法中,可通过两种方式找到服务程序的入口地址,一种是,另一种是。 13.CPU从主存取出一条指令并执行该指令的时间叫做,它常常用若干个来表示,而后者又包含有若干个。 14.程序顺序执行时,后继指令的地址由形成,遇到转移指令和调用指令时,后继指令的地址从获得。 15.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 16.机器X和Y的主频分别是8MHz和12MHz,则X机的时钟周期为μs。

若X机的平均指令执行速度为0.4MIPS,则X机得平均指令周期为μs。若两个机器的机器周期内时钟周期数相等,则Y机得平均执行速度为MIPS。 17.一个主频为25MHz的CPU,平均每条指令包含2个机器周期,每个机器周期包含2个时钟周期,则计算机的平均速度是。如果每两个机器周期中有一个用于访存,而存储器速度较慢,需再插入2个时钟周期,此时指令周期为μs。 18.微指令格式可分为型和型两类,其中型微指令用较长的微程序结构换取较短的微指令结构。 19.在用微程序实现的控制器中,一条机器指令对应若干条,它又包含若干。微指令格式分成型和型两类,型微指令可同时执行若干个微操作,所以执行指令的速度比快。 20.实现机器指令的微程序一般存放在中,而用户程序存放在中,前者的速度比后者。若采用水平型微指令,则微指令长度一般比机器指令。 21.某计算机采用微程序控制,微指令字中操作控制字段共16位,若采用直接控制,则可以定义种微操作,此时一条微指令最多可同时启动个微操作。若采用编码控制,并要求一条微指令需同时启动4个微操作,则微指令字中的操作控制字段应分段,若每个字段的微命令数相同,这样的微指令格式最多可包含个微操作命令。 22.在微程序控制器中,一次能够定义并执行多个并行操作命令的微指令叫 做型微指令。若采用微操作码方式,一次只能执行一个操作命令的微指令(例如,控制信息从某个源部件到某个目标部件)叫做型微指令,后者实现一条机器指令的微程序要比前者编写的微程序。 23.在串行微程序控制器中,执行现行微指令的操作与取下一条微指令的操作在时间上是进行的,所以微指令周期等于。在并行为程序控制器中,执行现行微指令的操作与取下一条微指令的操作是进行的,所以微指令周期等于。 二.选择题

计算机组成原理试题及参考答案

计算机组成原理试题及答案 一、选择题(每题3分,共36分) 1、下列数中最小的数是()。B A (1010010)2 B (00101000)BCD C (512)8D(235)16 2、某机字长16位,采用定点整数表示,符号位为1位,尾数为15位,则可表示的最大正整数为(),最小负整数为()。 A A +(215-1),-(215-1) B +(215-1),-(216-1) C +(214-1),-(215-1) D +(215-1), -(1-215) 3、运算器虽由许多部件组成,但核心部分是() B A 数据总线 B 算术逻辑运算单元 C 多路开关 D 累加寄存器 4、在定点运算器中,无论采用双符号位还是采用单符号位,都必须要有溢出判断电路,它一般用()来实现 C A 与非门 B 或非门 C 异或门 D 与或非门 5、立即寻址是指() B A 指令中直接给出操作数地址 B 指令中直接给出操作数 C 指令中间接给出操作数 D 指令中间接给出操作数地址 6、输入输出指令的功能是() C A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送 C 进行CPU与I/O设备之间的数据传送 D 改变程序执行的顺序 7、微程序控制器中,机器指令与微指令的关系是() D A 一段机器指令组成的程序可由一条微指令来执行 B 一条微指令由若干条机器指令组成 C 每一条机器指令由一条微指令来执行 D 每一条机器指令由一段用微指令编成的微程序来解释执行 8、相对指令流水线方案和多指令周期方案,单指令周期方案的资源利用率和性价比()A A 最低 B 居中 C 最高 D 都差不多 9、某一RAM芯片,其容量为1024×8位,除电源端和接地端外,连同片选和读/写信号该芯片引出腿的最小数目为() B A 23 B 20 C 17 D 19 10、在主存和CPU之间增加Cache的目的是()。 C A 扩大主存的容量 B 增加CPU中通用寄存器的数量 C 解决CPU和主存之间的速度匹配 D 代替CPU中寄存器工作 11、计算机系统的输入输出接口是()之间的交接界面。 B A CPU与存储器 B 主机与外围设备 C 存储器与外围设备 D CPU与系统总线 12、在采用DMA方式的I/O系统中,其基本思想是在()之间建立直接的数据通路。B A CPU与存储器 B 主机与外围设备 C 外设与外设 D CPU与主存 二、判断题(每题3分,共15分) 1、两个补码相加,只有在最高位都是1时有可能产生溢出。(×) 2、相对寻址方式中,操作数的有效地址等于程序计数器内容与偏移量之和(√) 3、指令是程序设计人员与计算机系统沟通的媒介,微指令是计算机指令和硬件电路建立联系的媒介。(√)

计算机组成原理实验实验报告

计算机组成原理实验报告 学院信息与管理科学学院 专业班级计算机科学与技术2010级2班学生姓名毛世均 1010101046 指导教师郭玉峰 撰写日期:二○一二年六月四日

SA4=1 1.根据上边的逻辑表达式,分析58页图6-2的P1测试和P4测试两条指令的微地址转移方向。 P1测试:进行P1测试时,P1为0,其他的都为1, 因此SA4=1, SA3=I7,SA2=I6,SA1=,SA0=I4 微地址011001,下址字段为001000下址字段001000译码后,高两位不变,仍然为00,低四位受到机器指令的高四位I7-I4的影响。 机器指令的高四位为0000时,下一条微指令地址为001000,转到IN 操作。机器指令高四位0010时,下一条微指令地址为001010,转到MOV 操作。机器指令高四位为0001时,下一条微指令地址为001001,转到ADD 操作。机器指令高四位为0011时,下一条微指令地址为001011,转到OUT 操作。机器指令高四位为0100时,下一条微指令地址001100,转到JMP 操作 P4测试:进行P4测试时,P4为0,其他的都为1. 因此SA4=SA3=SA2=1,SA1=CA2,SA0=CA1 微地址000000,下址字段为010000. 010000被译码之后,高四位不变,0100低两位由CA2和CA1控制。CA2和CA1的值是由单片机的键盘填入控制的。 当实验选择CtL2=1时,CA2和CA1被填入0和1,这时低两位被译码电路翻译成01,所以下一条微地址就是010001,然后进入写机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和0,这时低两位被译码电路翻译成10,所以下一条微地址就是010010,然后进入读机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和1,这时低两位被译码电路翻译成 11,所以下一条微地址就是010011,然后进入运行机器指令的状态。 2.分析实验六中五条机器指令的执行过程。

相关文档
相关文档 最新文档