文档库 最新最全的文档下载
当前位置:文档库 › 2014数字逻辑复习题

2014数字逻辑复习题

2014数字逻辑复习题
2014数字逻辑复习题

一、选择题

1.组合逻辑电路通常由( A )构成。

A .门电路;

B .触发器;

C .计数器;

D .寄存器。 2.十进制数9的8421BCD 码是( D )。

A .1011;

B .1010;

C .1100;

D .1001。 3.逻辑函数的表示方法中具有唯一性的是( A )。

A.真值表;

B.逻辑表达式;

C.逻辑图;

D.VHDL 语言。

4.变量ABCDE 取值为10011时,某最小项的值为1,则此最小项是( C )。

A.ABCDE ;

B. CDE B A ;

C. DE C B A ;

D. E BCD A 。 5.下面器件中,( C )是易失性存储器。

A. FLASH ;

B.EPROM ;

C.DRAM ;

D.PROM 。

6.一个四位二进制减法计数器的起始值为1001,经过100个时钟脉冲后的值是( D )。

A . 1100;

B .0100;

C .1101;

D .0101。 7.能实现从多个输入端中选出一路作为输出的电路称为( C )。

A.触发器;

B.计数器;

C.数据选择器;

D.译码器。 8.下面器件中,( B )是非易失性存储器。

A. RAM ;

B.EEPROM ;

C.DRAM ;

D.SRAM 。 9.下列电路中,不属于组合逻辑电路的是( D )。

A .编码器;

B .译码器;

C .数据选择器;

D .计数器。 10.十进制数1997的十六进制数是( A )。

A. 7CDH ;

B. 8CEH ;

C.9ABH ;

D.747H 。 11.实现一个十进制的可逆计数器,至少需要( 4 )个触发器。

A.3;

B. 4;

C. 5;

D.6。 12.十六路数据选择器的地址输入端有( 4 )个。

A.16个;

B.2个;

C.4个;

D.8个。 13.32K×8位SRAM 芯片,地址线和数据线分别为( C )条

A .32和8;

B .16和8;

C .15和8;

D .14和8。 14.某RAM 的地址线为11位, 数据线为8位,则存储容量为( 2 )KB 。

A.16;

B. 8;

C. 4;

D. 2 15.JK 触发器在CP 脉冲作用下,欲使Q

n+1

=Qn ———

,则输入信号应为( C )。

A .0J K ==;

B .J Q =,K Q =;

C .J Q =,K Q =;

D .J Q =,0K =。 16.八进制数(573.7)8的十六进制数是( D )。

A.(17C.7)16;

B.( 17

C.E)16; C.(17B.7)16;

D.(17B.E)16。 17.用2K×8的SRAM 芯片,构成4K×32的存储器时,需要( B )片。

A. 4;

B. 8;

C. 16 ;

D. 32。

18.用n 个触发器构成的计数器,可得到的最大计数模为( C )。

A.n ;

B.2*n ;

C.2n ;

D. 2n+1 。

19.用四选一数据选择器实现函数Y=AB+A —

B ,应使( A )。

A.D 0=D 2=0,D 1=D 3=1;

B. D 0=D 2=1,D 1=D 3=0;

C.D 0=D 1=0,D 2=D 3=1;

D. D 0=D 1=1,D 2=D 3=0。 20下列门电路中,功耗最小的是( B )。

A.NMOS ;

B.CMOS ;

C.TTL ;

D.ECL 。

二、判断题

1.余3码属于有权码。(×) 2.格雷码属于有权码。(×)

3.一个四输入与非门,使其输出为0的输入变量取值组合有1种。(√) 4.一个四输入或非门,使其输出为1的输入变量取值组合有1种。(√) 5.异步时序电路没有统一的时钟脉冲控制。(√) 6.同步时序电路没有统一的时钟脉冲控制。(×) 7.最小项ABCD 的相邻最小项共有16个。(×)

8.EPROM 芯片每次读出后,存储器内容会发生变化。(×) 9.组合逻辑电路由计数器组合而成的电路。(×) 10.全加器属于时序电路。(×)

11.DRAM 芯片每次读出后,存储器内容不会发生变化。(√) 12.停电重新上电后,EEPROM 存储器内容会发生变化。(× ) 13.CMOS 逻辑门电路可以直连TTL 负载(√)

14.TTL 逻辑门电路不可以直连74HC 系列的CMOS 负载 (√)

15.TTL 逻辑门电路可以直连74HCT 系列的CMOS负载(√)

16.普通门电路的输出端不能直接相连。(√)

17.OD门的输出端可以直接相连。(√)

19.三态门的输出端可以直接相连。(√)

20.与门多余端应接电源。(√)

21.或门多余端应接地。(√)

三、填空题

1.电子技术的发展主要经历了电子管、晶体三极管、集成电路、大规模和超大集成电路4个阶段

2.按照芯片的集成度不同,集成电路可分为:小规模(SSI)、中规模(MSI)、大规模(LSI)、超大规模(VLSI)和甚大规模(ULSI)

3.采用5V电源的CMOS器件的高电平范围为 3.5 ~ -5V,低电平范围为:0 ~ 1.5 V。4.采用5V电源的TTL器件的高电平范围为 2 ~ 5 V,低电平范围为:0 ~ 0.8 V。5.串行通信中每秒传送的数据位数称为数据率(或波特率)。

6.逻辑代数中有三条基本的规则,分别是:代入规则、反演规则和对偶规则

7.使用代数法化简逻辑函数时,常采用并项法(利用A +A—=1)、吸收法(A+AB=A)、消去法(A+A—B = A+B)和配项法(A = A(B+B—) )等。

8.n个逻辑变量的函数总共有2n个最小项。

9.任何逻辑函数都等于卡诺图中为1 的方格所对应的最小项之和。

10.按工艺技术不同,目前常用的数字集成电路可分为三种,分别为CMOS、TTL和ECL

11.与TTL相比,CMOS集成电路主要优点有:功耗低、工作电压范围宽、抗干扰能力强、集成度高、成本低等。

12.CMOS电路目前是占主导地位的逻辑器件

13.ECL电路的特点是:工作速度极高、功耗也比较高,不适合用在大规模集成电路中。

14.集成电路有四个电压参数,分别为:输入低电平V IL、输入高电平V IH、输出低电平

V OL和输出高电平V OH

15.通常将最大干扰信号的幅度称为噪声容限。

16.高电平噪声容限定义为:V NH = V OH --V IH,低电平噪声容限定义为:V NL = V IL - V OL。

17.集成电路的功耗分为:静态功耗和动态功耗

18.CMOS电路的动态功耗正比于转换频率和电源电压的平方。

19.门电路的扇入数是指的是输入端的个数

20.门电路的扇出数指的是:在正常工作情况下,所能带同类门电路的最大数目。21.集成电路的4个电流参数分别是:高电平输入电流I IH、低电平输入电流I IL、高电平输出电流I OH和低电平输出电流I OL。

22.使用漏极开路门时需要外接上拉电阻

23.逻辑函数可以有多种表示方式,如真值表、逻辑表达式、逻辑图、波形图和卡诺图等。

24.逻辑函数的化简有代数化简法和卡诺图化简法。

25.OD门的功能主要有:实现“线与”、驱动发光二极管和实现电平转换。26.传输门(TG)又称为模拟开关,它既可以传输模拟信号,又可以传输数字信号。27.当传输门的C—端接高电平、C端接低电平时,传输门相当于开关断开。

28.当传输门的C—端接低电平、C端接高电平时,传输门相当于开关闭合。29.BICMOS电路具有输入阻抗高、输出驱动能力强等优点。

30.各种门电路之间相接口时,扇出数要满足:灌电流时,I OL≥I IL (total);拉电流时,I OH≥I IH (total)。

31.各种门电路之间相接口时,逻辑电平要满足:V OH≥V IH、V OL≤V IL。32.按照电路结构和工作原理不同,数字部件可分为组合逻辑电路和时序逻辑电路。33.用一个二进制代码表示特定含义的信息称为编码。

34.将有特定含义的二制制码转换成对应的输出信号的过程称为译码。

35.按功能的不同,译码器可分为:唯一地址译码器和代码译码器。

36.唯一地址译码有n 个输入时,有2n个输出。

37.将公共数据线上的数据根据需要送到不同的通道上称为数据分配。

38.根据需要将多路数据中的某一种送到公共数据线上称为数据选择

39.完成两个1位二进制数相加的电路称为半加器。

40 SRAM 芯片6264有13条地址线和8条数据线,则它的容量为8K×8=8KB;

42.锁存器是对电平敏感的器件,而触发器为对上升沿或下降沿敏感的器件

43.触发器的逻辑功能可用特性方程、特性表、状态图和时序图来描述。

44.1个触发器可存储1位二进制信息,存储n位二进制信息需要n个触发器。46.计数器的容量也称为模,一个计数器的状态数等于其模数。

47.按功能不同,存储器可分为:随机存取存储器(RAM)、只读存储器(ROM)和非易失性存储器(NVRAM )

48.断电后RAM 中的信息会丢失。

49.随机存取存储器(RAM)可分为:静态RAM(SRAM)和动态RAM(DRAM)。50.存储容量是指存储器能够存放的二进制数的位数。

51.某并行接口的存储器芯片有M条地址线和N条数据线,则它的存储容量为:2M * N 52.单稳态触发器一般用于定时、延时和噪声消除等。

54.最常见的触发器可分为D触发器、JK触发器、T触发器和T’触发器。

55.组合逻辑电路研究的重点是输出与输入信号之间的关系;

56.时序逻辑电路研究的重点是电路状态的转换。

57.组合逻辑电路的输出仅与输入信号有关;

58.时序电路的输出变化不仅与输入信号有关,而且也与电路的当前状态有关。59.按照时钟信号连接方式的不同,时序逻辑电路可分为同步时序逻辑电路和异步时序逻辑电路

60.如果电路中所有触发器的CP端都并连在一起,则称为:同步时序逻辑电路。61.如果电路中所有触发器的CP端没有并连在一起,则称为:异步时序逻辑电路。62.时序逻辑电路的功能可用四种方法来表示:分别是:逻辑方程组、状态表、状态图和时序图;

63.CMOS三态门的输出有3种状态,分别是高电平、低电平和高阻状态。

64.常用的中规模组合逻辑器件有:编码器、译码器、数据选择器、数据分配器、加法器和数据比较器等。

65

.触发器是构成时序逻辑电路的基本单元,其特点是具有记忆功能,可以保存1位二值信息。

66.施密特触发器常用于波形变换、脉冲整形和鉴幅。

67.多谐振荡器是一种自激脉冲振荡电路,通常用作脉冲信号源。 68.10100101是八位的二进制补码,其十进制真值是 -91 。 69.十进制数121的八位反码是01111001。

70.字符‘C ’的ASCII 码是 43 H 。字符’0’的ASCII 码为 30 H

71.十进制数 -90的8位补码为 A6 H ,十进制数80的8位补码为 50 H

四.综合题(60) 1.用卡诺图化简下列各式

(1)C B A D A B A D C AB CD B A ++++

解:先将函数化为用最小项表示的与或表达式,画出卡诺图(或根据表达式直接填写卡诺图),再用卡诺图化简。在画卡诺图的包围圈时,包围圈要尽可能覆盖填1的最小项,且包围圈的数目要尽可能少,这样可得最简与或表达式。

C B A

D A B A D C AB CD B A ++++

)())(())((D D C B A C C B B D A D D C C B A D C AB CD B A +++++++++=

D C AB D ABC D C B A D C B A D C B A D C AB CD B A ++++++=

= Σ m (11,13,10,9,8,14,12 ) 由逻辑表达式作卡诺图:

由卡诺图得最简逻辑表达式L =C A +D A +B A (2)C B D C B C D AC B A D C B A L ++++=),,,(

B

AB

CD L

B A

D A

C A

(3)∑=)14,13,10,9,8,6,5,2,1,0(),,,(m D C B A L

(4)∑∑+=)15,11,7,5,3,1()13,9,6,4,2,0(),,,(d m D C B A L

2.画出函数的逻辑电路图

L= A + D

00 × L

AB CD 11 10

01 01 10 11 00 1 1 1 1 1

1 × × × ×

× A

D

0 0 0 0

(1)画出实现下列函数的逻辑电路图,分别使用二输入端“与非门”和两输入端“或非门”实现。

1)逻辑表达式为:CD AB D C B A L +=),,,( 2)逻辑表达式为:C AB C B A L +=),,( 3)逻辑表达式为:BC AB D C B A L +=),,,( (1)

设逻辑表达式为:L (A ,B ,E ,F )= AB+EF ,限使用二输入或非门实现。

F E B A F E B

A EF A

B EF AB L +++=+++=+=+=

(3)

C B B A C B B A BC AB BC AB L +++=+++=+=+=

≥1

A

≥1

B

≥1

E

≥1

F

≥1

≥1

≥1

≥1

L

3.组合逻辑电路功能分析

(1)由传输门组成的电路如下图所示,试列出其真值表,说明该电路的逻辑功能。

解:当CS = 1时,4个传输门均为断开状态,输出处于高阻状态。 当CS = 0时,4个传输门的工作状态由A 和B 决定

A

B CS

L

V DD (1)

根据真值表可得: B A B

A L +==。

该电路实现三态输出的2输入或非逻辑功能。

(2)试分析下图所示传输门构成的电路,写出其逻辑表达式,说明它是什么逻辑电路。

解:输入信号A 作为传输门的控制信号,输入信号B 通过传输门与输出L 相连。 当A = 0时,传输门TG 1导通,TG 2断开,L = B ;当A = 1时,传输门TG 1断开,TG 2导通,L = B ;其真值表为:

该电路实现异或功能,L = A ⊕B 。

(3)逻辑电路如下图所示,试分析其逻辑功能。

1

1

TG 1

TG 2

A B

L

1

1

≥1

≥1

≥1

A

B

L 1 L 2

L 3

L 1 = B A +=B

A

L 2

=

B A B A +++=(A + B )·(A +B )= AB +B A L 3 = B A +=B A

解:根据组合逻辑电路的分析步骤。 (1)由逻辑电路写出逻辑表达式:

(2)列出真值表:

由真值表可知,当A >B ,L 1 = 1,L 2 = L 3 = 0;当A <B ,L 3 = 1,L 1 = L 2 = 0;当A = B ,L 2 =1,L 1 = L 3 = 0。该逻辑电路为1位数值比较器。 4.组合逻辑电路设计

(1)设ABC 为某保密锁的三个按键,当A 单独闭合时,既不开锁也不报警;当A 、B 、C 或A 、B 或A 、C 分别同时闭合时,锁被打开;其它有键闭合的情况将发出报警信号。试用与非门设计该保密锁的逻辑电路。

(2)试用2输入与非门设计一个3输入

的组逻辑电路,当输

入的二进制码小于3时,输出为0;输入大于等于3时,输出为1。

(1)设输入变量为:A 、B 、C ,输出变量为L ,则根据题意可列出真值表如下:

A B

C L 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1

1

00 L BC 01 10 11 0

A 0

1

1

1

1 1

1 0

A

BC

(2)根据真值表可画出函数的卡诺图为:

(3)由卡诺图可得函数的表达式为:

C B A BC A BC A L ??=+=+=

(4)由逻辑表达式可画出逻辑电路图如下:

(3)某热水器如下图所示:图中虚线表示水位,A 、B 、C 电极被水浸没时,有信号输出。水面在A 、B 间时,为正常工作状态,绿灯G 亮;水面在B 、C 间或高于A 时为异常状态,黄灯Y 亮;水面低于C 时,为危险状态,红灯R 亮。试用与非门设计实现该逻辑功能的电路。

真值表

A

B C L

(2)根据真值表可画出函数的卡诺图为: 00 R BC

01 10 11 1 A 0 1 0 0 × × × 0

× C R =00 Y BC 01 10 11 0

A

0 1 0 1

×

×

×

1 × C

B A Y

+=00 G BC

01 10 11 0 A 0 1

1 0

×

×

×

0 × B

A G =

(3)将表达式变为“与非 — 与非”表达式。 (4)画出逻辑电路图

5.画触发器的波形图 (1)画D 触发器的波形图

D 触发器逻辑符号及时钟信号CP (/CP )和D 的波形图如下图所示。分别画出Q 0和Q 1端波形。设触发器的初始状态为00。

(2)画D 触发器的输出端Q 1和Q 2端的波形

D 1D

>C1

CP Q 0 Q 0

D 1D

>C

CP Q 1 Q 1

D CP (CP )

Q 0

Q 1

C

R =C

B A

C B A C B A Y ??=+=+=B

A B A G ?==

(3)设下降沿触发的JK 触发器初始状态为0

,CP 、J 、K 如下图所示,试画出触发器Q 端的输出波形。

(4)试画出下降沿触发的J 、K 触发器输出端Q 的波形图

CP

J

K Q

CP J K

Q

6.时序逻辑电路的分析

(1)分析下列时序逻辑电路的功能。(要求:写出电路的输出方程和状态方程,列出电路的状态转换表,画出状态转换图,并指出电路的逻辑功能)

(1)写驱动方程

00Q D = 011Q Q D ⊕=

(2)将驱动方程代入特性方程,得到状态方程组,并写输出方程组

状态方程组:

01

00Q D Q n ==+

n n n Q Q D Q 01111⊕==+ = Q 1n ⊙Q 0n

输出方程组:n

n Q Q F 01?=

(3)列出状态转换表

状态转换表

(4) 画出状态转换图

F

(2)分析下列时序逻辑电路的功能。(要求:写出电路的输出方程和状态方程,列出电路的状态转换表,画出状态转换图,并指出电路的逻辑功能)

解:(1)根据题意可列出三个方程组如下: ① 输出方程组:Z=Q 1Q 0

② 激励(驱动)方程组:J 0=K 0=1 , J 1=K 1=A ⊕Q 0 ③ 状态方程组:

n

n n n Q Q K Q J Q 0

000010=+=+ n n

n n n Q Q A Q K Q J Q 10111111)(⊕⊕=+=+

(2)根据状态方程组列出状态表

状态转换表

(5) 分析电路的逻辑功能:

这是一个4进制减法计数器

Z

状态表

(3) 画出状态图

状态图如右图所示。

(4)逻辑功能分析。由状态图可知,电路的功能

为可逆二进制计数器,A 为控制信号,当A=0时,进行加1计数,4个状态依次为00-01-10-11,每经过4个脉冲电路的状态循环一次;A=1时进行减1计数,4个状态依次为11-10-01-00,输出信号Z 在Q1Q0为11时输出为1,其下降沿可作为加法计数时的进位操作信号,而其上升沿可作为借位信号操作。

(3)分析下列时序逻辑电路的功能。(要求:写出电路的输出方程和状态方程,列出电

路的状态转换表,画出状态转换图,并指出电路的逻辑功能) (计算机科学与技术专业例6.2.1,软件工程专业例5.2.2)

解:

(1)根据题意可列出三个方程组如下: ① 输出方程组:Y=AQ 1Q 0

② 激励(驱动)方程组:T 0=A , T 1=AQ 0 ③ 状态方程组:

n n n Q A Q T Q 00010⊕=⊕=+

n n n n Q AQ Q T Q 101111)(⊕=⊕=+

(2)根据状态方程组列出状态表

状态表

(3) 画出状态图 状态图如右图所示。

状态转换表

1A

Y

(4)逻辑功能分析。由状态图可知,电路的功能为四进制加法计数器,A 为控制信号,当A=0时,停止计数,A=1时进行计数。输出信号Y 的下降沿可作为进位操作信号。

7.计数器的设计

(1)分别用清零法和置数法设计一个六进制计数器,在图中画出相应的连线,并画出状态转换图。

(2)分别用清零法和置数法设计一个九进制计数器,在图中画出相应的连线,并画出状态转换图。

反馈清零法电路图

CP

反馈置数法电路图

CP

2014教师职业道德试题及参考答案

终身学习是21世纪的生存理念。主要依据是() D 1972年联合园教科文组织的报告《学会生存——教育世界的今天》 第 2 题(2 分) 终身学习的思想观念正在变为社会及个人可持续发展的现实要求,学习越来越成为个人日常生活的一部分。在我国,( )将是最先进入终身学习体系的一个群体。 A 教师 第 3 题(2 分) 终身学习已经成为教师的一种()。与普通人相比,教师的终身学习更具目的性、系统性和紧迫性。 D 责任和义务 第 4 题(2 分) 中国人有句俗语说,“师生如父子”,这句话的真正内涵是 D 教师对学生既有像父母一样的关爱,却没有像父母对自己子女一样的偏爱。 第 5 题(2 分) 以下哪项是坚持社会主义教育方向的保证 D 廉洁从教 第 6 题(2 分) 在《论语》中记载着许多孔子关于仁的解释,孔子“仁”的中心是 A 爱人 第7 题(2 分) 医生根据病人体温、血压、心电图等给予确诊,属于下列哪种思维特性?() B 概括性 第8 题(2 分) 下面关于国旗法的规定中,那一项是错误的 A 遇到下半旗时,应当先将国旗升至杆顶,然后降至旗顶与杆顶之间的距离为旗杆全长的 一半处;降下时,应当先将国旗升至杆顶,然后再降下。 第9 题(2 分) 下面关于中华人民共和国国旗的描述正确的包括: A 中华人民共和国国旗是中华人民共和国的象征和标志 B 每个公民和组织,都应当尊重和爱护国旗。 C 中华人民共和国国旗是五星红旗 第10 题(2 分) 下面关于国徽的描述正确的是。中华人民共和国国徽 A 是中华人民共和国的象征和标志 B 中间是五星照耀下的天安门,象征中国人民反帝反封建的不屈的民族精神。 C 周围是谷穗和齿轮,象征工人阶级与农民阶级。 第11 题(2 分) 下面关于国徽的陈述正确的是 D 在公众场合故意以焚烧、毁损、涂划、玷污、践踏等方式侮辱中华人民共和国国徽的, 依法判处有期徒刑。 第12 题(2 分) 狭义的教育,主要指 D 学校教育

2014年对口升学计算机基础课试题答案

2014年对口升学计算机基础课试题答案 一.选择题 1~5 BADDB 6~10 DCADB 11~15 BDBCD 16~20 CCCDC 21~25 CADAC 26~30 BBDAA 31~35 ABDAD 36~40 CCDDA 41~45 BBABB 46~50 CDBCC 51~55 DADCB 二.判断题(组装) 56~60 ∨∨×∨∨ 61~65 ××∨∨× 三.名词解释题(组装) 66.微型计算机:就是以微处理器为基础,配以内存储器及输入输出设备和相应的辅助 设备而构成的计算机。 67.职业素质:是指所学专业或从事工作的不同特点和要求所具备的素质,也就是适应 不同专业的特殊要求而应该具备的素质条件。 68:软件故障:指由于计算机软件问题而导致计算机不能正常工作。一般表现为系统无法启动或启动后运行异常、显示紊乱,扇区损坏导致磁盘文件存取出错或文件丢失、受到病毒感染等。 69:双通道:就是芯片组可在两个不同的数据通道上分别寻址、读取数据。这两个相互独立工作的内存通道依附于两个独立并行工作的内存控制器。 四.简答题 70.确定用户实际需求时有哪几个原则? 答:尊重用户意见、替用户着想、适当考虑未来、保护用户投资。 71.简述装机过程中的要求 答:1.断电操作;2.防止金属物体掉到主板上而引起主板短路;3.使用钳子和螺丝刀等工具时,注意不要划到线路板;4.在安装计算机配件时,应防止液体流进计算机内部;5.在进行部件的线缆连接时,要注意插头、插座的方向;6.不要抓住线缆拔插头,以免损伤线缆;不要用上下抖动的方式插拔接口,以免造成接口损坏。 72.简述升级主板BIOS的意义 答:1.提供对新的硬件或技术规范的支持;2.通过升级BIOS提升硬件的性能;3.解决旧版本BIOS中存在的BUG。 73.为什么要引入缓存? 答:cpu速度很快,而内存速度相对较慢,为了解决它们之间速度不匹配的问题就引入了缓存。 五.综合题 六.判断题(VB) 75~79∨×∨∨× 80~84×∨×∨∨ 七.名词解释题(VB) 85.常量:在程序执行期间数值始终不变的量。 86.事件:是由Visual Basic预先设置好的,能够被对象识别的动作。 87.过程:为了简化程序设计,通常将程序分割成较小的逻辑部件,这些部件称为过程。 88.实时错误:程序输入或编译时并未发现任何语法错误,但在程序运行过程中发生错 误,导致应用程序中断。 八、简答题 89.Visual Basic 6.0过程参数有哪几种传递方式?它们有何区别? 答:按地址方式传递和按值方式传递。按地址方式传递是变量的在内存中的地址,实参

数字逻辑模拟试题

数字逻辑模拟试题 一.单项选择题1.表示任意两位无符号十进制数至少需要()二进制数。 A .6 B.7 C.8 D.9 2.余3码10001000对应的2421码为()。 A .01010101 B.10000101 C.10111011 D. 11101011 3.下列四个数中与十进制数(72)10 相等的是()A.(01101000)2 B. (01001000)2 C.(01110010)2 D. (01001010)2 4.某集成电路芯片,查手册知其最大输出低电平U oLmax =0.5V,最大输入低电平U lLmax =0.8V,最小输出咼电平U oHmi n= 2.7V,最小输入高电平U lHmi n= 2.0V,则其高电平噪声容限U NH=() A.0.3V B.0.6V C.0.7V D.1.2V

5 ?标准或-与式是由()构成的逻辑表达式。 A ?与项相或 B.最小项相或 C.最大项相与 D.或项相与 6.根据反演规则, F A C C DE E的反函数为()。 A. F [AC C(D E)]E B.F AC C(D E)E C. F (AC CD E)E D.F AC C(D E)E 7、对于TTL或非门多余输入端的处理,不可以()( A、接电源 B、通过0.5k Q电阻接地 C、接地 D、与有用输入端并联 8?下列四种类型的逻辑门中,可以用()实现三种基本逻辑运算。 A.与门 B.或门 C.非门 D.与非门 9.将D触发器改造成T触发器,图1所示电路中的虚线框内应是()。

A.或非门 B.与非门 C.异或门 D.同或门 10.以下电路中可以实现线与功能的有()。 A. 与非门 B.三态输出门 C.传输门 D.漏极开路门 11 ?要使JK触发器在时钟作用下的次态与现态相反, JK端取值应为()。 A. JK=00 B. JK=01 C. JK=10 D. JK=11 12?设计一个四位二进制码的奇偶校验器,需要()个异或门。 A . 2 B. 3 C. 4 D. 5 13.相邻两组编码只有一位不同的编码是() A. 2421BCD码 B.8421BCD码 C.余3 码 D.循环码14?下列电路中,不属于时序逻辑电路的是() A.计数器 B.全加器 C.寄存器 D.RAM

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

2014试题及参考答案

管理方法与艺术试题 代号:7188 一、单项选择题(每题2分,共40分) 1.下列能明显体现管理的自然属性的活动是() A. 人际关系 B. 组织文化 C. 质量管理 D. 组织目标 2.管理的艺术性所强调的是() A.管理的实践性 B.管理的科学性、 C.管理的变动性 D.管理的复杂性 3. 赵军在某机械企业的生产车间担任班组长,他属于() A高层管理者 B中层管理者 C基层管理者 D参谋人员 4.目标管理要求() A.工作目标由高层管理者制定 B. 工作目标由中层管理者制定 C . 工作目标由组织成员共同制定 D. 工作目标由低层管理者制定 5.为了实现既定目标,将信息在个人和群体之间传递,以达成共同协议的过程,这是()。 A. 沟通 B.激励 C. 领导 D.协调 6.在组织结构设计中遵循统一指挥原则,可以有效避免() A. 多头领导 B. 迷失发展方向 C . 管理幅度过小 D. 权责不对等 7.根据对未来的市场供求状况、行业发展态势、用户需求变化、竞争对手情况等,合理预期组织未来发展过程中可能出现的各种情形,并采取相应的控制措施,这是()。 A. 现场控制 B. 前馈控制 C.反馈控制 D.集中控制 8.对于服装经营者来说,最不适宜采取( )。 A、产品多样化营销 B、无差异性市场营销 C、集中性市场营销 D、差异性市场营销 9.某公司对市场进行细分之后,决定占领其中几个细分市场,并希望在每个细分市场中获得较高销售额,该公司采用的目标市场策略为()。 A.无差异性营销 B.集中性营销 C. 差异性营销 D. 一对一营销 10.一般来说,对新员工培训的首要内容应该是() A.业务知识 B. 组织文化 C .工作要求 D. 操作规范 11.按生产的规模和数量来划分,制造性生产可以分() A.流程型生产和离散型生产 B. 单件生产、成批生产和大量生产 C . 备货型生产和订货型生产 D. 劳动密集型和资金密集型 12.MRP的基本思想是()。 A.准时生产,持续改善 B.消除一切浪费,实现“零库存” C.围绕物料转化组织制造资源,实现按需准时生产 D.消除一切无效劳动,努力提高生产效率 13.质量管理方法中的质量控制方法的基础是() A.螺旋理论 B.数理统计 C.组织 D.函数

电子科技大学820计算机专业基础2014年考研专业课真题试卷

电子科技大学 2014年攻读硕士学位研究生入学考试试题 考试科目:820计算机专业基础 注:所有答案必须写在答题纸上,写在试卷或草稿纸上均无效。 《计算机操作系统》 一、填空题(10分,每空2分) 1.现有3个同时到达的作业J1、J2和J3,它们的执行时间分别为T1、T2和T3,且T1

北京邮电大学 数字逻辑期末模拟试题5

C 本科试题(五) 一、选择题(每小题2分,共20分) 1. A 3、A 2、A 1、A 0是四位二进制码,若电路采用奇校验,则校验位C 的逻辑表达式是___________。 A. B. C. D. 2. 要使3:8 线译码器(74LS138)能正常工作,使能控制端的 电平信号应是____________。 A. 001 B. 011 C. 100 D. 111 3. 最小项的逻辑相邻项是___________。 A. B. C. D. 4. 设,则它的非函数是___________。 A. B. C. D. 5. 下列各函数相等,其中无冒险现象的逻辑函数是___________。 A. B. C. D. 6. 为实现将D 触发器转换为T 触发器,图1所示电路的虚线框内应是_________。 a) 或非门 b) 与非门 c) 异或门 d) 同或门 7. 用计数器产生110010序列,至少需要________个触发器。 A. 2 B. 3 C. 4 D. 8 8. 从编程功能讲,E 2PROM 的与阵列________,或阵列________。 A. 固定,可编程 B. 可编程,固定 C. 可编程,可编程 D. 固定,固定 9. 在图 。 10123⊕⊕⊕⊕A A A A 0123A A A A ⊕⊕⊕00123⊕⊕⊕⊕A A A A 0123A A A A +++ B A G G G 221,,D C B A ABC D CD B A D C B A D C B A D C AB F +=D C B A F +?+=)()(D C B A F +?+=)()(D C B A F +?+=D C AB F +=CD C B AC F ++=D AC C B CD F ++=AB BD CD C B AC F ++++=BD D C B CD AC F +++=n n Q Q =+1图1 T A B D

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

2014年计算机基础模拟试卷

苏天-南大专转本计算机基础 试题卷(一) 姓名:______________ 注意事项: 1.全卷满分100分,考试时间90分钟。 2.作答前务必将自己的姓名准确清晰地填写在试题卷上指定位置。 一、判断题(本大题共20小题,每小题1分,共20分。答案请填写在每题后的括号中。正确的填A字母,错误的填B字母) 1.通信就是传递信息,因此书、报、磁带、唱片等都是现代通信的媒介。 2.8个二进制位的补码表示的带符号整数取值范围是[-127,+127]。 3.指令由操作码和操作数地址组成;每条指令必须有操作码,但可能会没有操作数。 4.计算机存储器分内存和外存两大类;内存容量相对较小,速度相对较快,价格相对较高。 5.芯片组提供了CPU的系统时钟,决定着计算机系统中的内存最大容量、速度及内存条的类型,也决定了主板上所用的CPU的类型和速度和总线频率。 6.按软件权益分:软件分为商品软件、共享软件、和自由软件三类。 7.虚拟存储技术中的“页面调出与调入”是由OS的“存储管理程序”执行的,Windows系统采用的页面调度算法为“最近最少使用”(即LRU算法)。 8.计算机组网的目的:数据通信,资源共享,分布式处理,提高计算机系统可靠性和可用性。 9.广域网比局域网覆盖的地域范围广,其实它们所采用的技术是完全相同的。 10.杀毒软件的病毒特征库汇集了已出现的所有病毒特征,因此可以查杀所有病毒,有效保护信息。11.在16×16点阵的汉字字库中,存储每个汉字字形码所需的字节是256B。 12.MP3与MIDI均是常用的数字化记录或者表示音乐的计算机文件格式,一般情况下,用它们表示或记录同一首电子琴乐曲时,前者的质量比后者好。 13. DBS是帮助用户建立、使用和管理数据库的一种计算机软件。 14.在Windows中,可以利用控制面板管理文件和文件夹。 15.在Windows中,从U盘上删除的内容并不送到回收站。 16.Word中可以通过“表格”菜单的“插入”→“表格”菜单项插入表格.设置行数和列数的最小值均为2。 17.在Word的普通视图中,不可以显示首字下沉效果。 18.在Excel中,用户不可以在公式中引用合并后的单元格。 19.在Excel中,链接和嵌入的主要不同就是数据存储的地方不同。 20.在PowerPoint中,放映幻灯片时,只能单击鼠标换页。 二、单项选择题(本大题共50小题,每小题1分,共50分。在下列每小题中,选出一个正确答案,请在答题卡上将所选项的字母标号涂黑) 21.采用某种进位制时,如果4×5=17,那么,6×3 = 。 A.15 B.21 C.20 D.19 22.十进制数-52用8位二进制补码表示为。 A.11010100 B.10101010 C.11001100 D.01010101 23.在下列各种不同进制的数中,最小的数是。 A.(3A)16B.(50)10C.(110101)2D.(67)8 24.已知X的补码为10011000,则它的原码是。 A.01101000 B.01100111 C.10011000 D.11101000 25.8位二进制数11111111,用十六进制表示,正确的是。 A.377 B.177 C.FFFF D.FF

数字逻辑模拟试卷

4、如果竞争的结果导致电路最终进入同一稳定总态,则称为临界竞争。 ( ) 5、门电路的扇出是表示输出电压与输入电压之间的关系。 ( ) 三、简答题(每题5分,共10分) 1、请列出3种“曾经是模拟的”现在却“已经成为数字的”系统,并简述为什么会有这种转变。 2、采用CMOS 晶体管实现的“与非门”和“或非门”,哪个速度快?为什么? 四、应用题(共70分) 1、已知接收端收到的汉明码码字a7a6a5a4a3a2a1=1100010,问在最多一位错的情况下发送端发送的码字是什么?(5分) 2、用卡诺图化简下列函数:(5分) ()()15,14,13,2,1,012,11,10,5,4,3,,,d F Z Y X W += ∑ 3、旅客列车分为特快A ,直快B 和慢车C ,它们的优先顺序为:特快、直快、慢车。同一时间内,只能有一趟列车从车站开出,即只能给出一个开车信号,试设计满足上述要求的开车信号控制电路。(10分) (1)列出真值表(5分) (2) 写出最简的输出逻辑表达式(5分) 4、运用一个MSI 器件实现余3码向8421BCD 码的转换。(10分) 5、运用“圈到圈”逻辑设计思想,采用74X138译码器和适当的逻辑门设计一个1位十进制数2421码的奇偶位产生电路(假定采用奇检验)。(10分)

1)作出状态/输出表(5分)。 2)说明它是Mealy 机还是Moore 机(2分) 3)说明这个电路能对何种输入序列进行检测。(3分) 7、作“0101”序列检测器的Mealy 型状态表和Moore 型状态表。凡收到输入序列为“0101”时,输出为1;并规定检测的“0101”序列不重叠。典型输入输出序列如下:(10分) 输入X :1 1 0 1 0 1 0 1 0 0 1 1 输出Z :0 0 0 0 0 1 0 0 0 0 0 0 看下面的例子就清楚了: 某序列检测器有一个输入端x 和一个输出端Z 。输入端 x 输入一串随机的二进制代码,当输入序列中出现011时,输出Z 产生一个1输出,平时Z 输出0 。典型输入、输出序列如下。 输入 x: 1 1 1 1 1 1 1 输出 Z: 1 1 试作出该序列检测器的原始状态图和原始状态表。 解.假定用Mealy 型同步时序逻辑电路实现该序列检测器的逻辑功能。 设: 状态A ------为电路的初始状态。 状态B ------表示收到了序列"011"中的第一个信号"0"。 状态C ------表示收到了序列"011"中的前面两位"01" 。 状态D ------表示收到了序列"011"。 ※ 当电路处在状态A 输入x 为0时,应令输出Z 为0转向状态B ;而处在状态A 输入x 为1时,应令输出Z 为0停留在状态A ,因为输入1不是序列"011"的第一个信号,故不需要记住。该转换关系如图5.16(a )所示。 Q1 Q2

《数字逻辑与数字系统》期末考试试题

北京XX 大学2006——2007学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 一、选择题(每小题1分,共10分。) .卡诺图如图1所示,电路描述的逻辑表达式F=( )。 A. ∑m(1,2,4,5,9,10,13,15) B. ∑m(0,1,3,4,5,9,13,15) C. ∑m(1,2,3,4,5,8,9,14) D. ∑m(1,4,5,8,9,10,13,15) .在下列逻辑部件中,不属于组合逻辑部件的是( )。 A. 译码器 B. 锁存器 C.编码器 D.比较器 .八路数据选择器,其地址输入端(选择控制端)有( )个。 A. 8 B. 2 C. 3 D. 4 .将D 触发器转换为T 触发器,图2所示电路的虚框 )。 A. 或非门 B. 与非门 C. 异或门 D. 同或门 图2

A. 2n B. 2n C. n D. 2n-1 6.GAL 是指( )。 A.随机读写存储器 B.通用阵列逻辑 C.可编程逻辑阵列 D. 现场可编程门阵列 7.EPROM 的与阵列( ),或阵列( )。 A. 固定、固定 B. 可编程、固定 C. 固定、可编程 D. 可编程、可编程 8.在ispLSI 器件中,GRP 是指( )。 A. 通用逻辑块 B. 输出布线区 C. 输入输出单元 D.全局布线区 9. 双向数据总线可以采用( )构成。 A.三态门 B. 译码器 C.多路选择器 D.与非门 10.ASM 流程图是设计( )的一种重要工具。 A. 运算器 B. 控制器 C.计数器 D. 存储器 二、填空题(每小题2分,共20分) 1. 图3所示加法器构成代码变换电路,若输入信号B 3B 2B 1B 0为8421BCD 码,则输出端 S 3S 2S 1S 0为______________________代码。 2. 2:4译码器芯片如图4所示。欲将其改为四路分配器使用,应将使能端G 改为 ___________________,而地址输入端A 、B 作为_________________________。 3. 门电路的输入、输出高电平赋值为逻辑_________,低电平赋值为逻辑________,这种关系为负逻辑关系。 4. 组合逻辑电路的输出只与当时的________状态有关,而与电路_______的输入状态无关。 5.译码器实现___________________译码,编码器实现___________________译码。

全国自考2014年07月00018计算机应用基础历年试题(含答案)

全国2014年7月高等教育自学考试 计算机应用基础 代码:00018 一、单项选择题 1.世界上第一台电子数字计算机诞生于 A.1946年 B.1924年 C.1950年 D.1936年 2.目前广泛使用的微型计算机的逻辑器件,所采用的是 A.晶体管 B.超大规模集成电路 C.超导体 D.中小规模集成电路 3.基于“程序存储”的计算机工作原理的计算机体系结构称为 A.艾伦.图灵结构 B.罗伯特.诺伊斯结构 C.比尔.盖茨结构 D.冯.诺依曼结构 4.连接计算机系统结构的五大基本组成部件是 A.电缆 B.适配器 C.总线 D.中继器 5.计算机软件系统主要由 A.操作系统和数据库管理系统组成 B.系统软件和Office软件组成 C.应用软件和操作系统组成 D.系统软件和应用软件组成 6.在计算机领域,“bit”是指 A.字节 B.字 C.字长 D.二进制位 7.在微型计算机存储器中,断电后其存储内容丢失的是 A.RAM B.ROM C.硬盘 D.软盘 8.PCI系列微型计算机,其中PCI是指

A.产品型号 B.总线标准 C.微机系统名称 D.微处理器型号 9.二进制数11111110B等值的十进制数是 A.254 B.252 C.154 D.244 10.八进制数453转换成十进制数是 A.324 B.267 C.299 D.265 11.在计算机汉字系统中,汉字字库中存放的是汉字的 A.外码 B.字形码 C.国标码 D.机内码 12.速度快、分辨率高的打印机类型是 A.喷墨式 B.激光式 C.击打式 D.针打式 13.下列关于计算机病毒的叙述中,正确的是 A.计算机病毒只破坏内存中的程序与数据 B.计算机病毒只破坏磁盘中的程序与数据 C.防病毒卡能够杜绝计算机病毒对计算机的侵害 D.磁盘染有计算机病毒后,测底清除计算机病毒的方式是格式化磁盘 14.操作系统中,文件管理的主要作用是 A.完成文件的高速输入输出 B.按完成文件内容对文件的存取 C.完成按文件名对文件的存放 D.完成按文件属性对文件的存取 15.Windows中,对话框 A.有最大化按钮 B.有最小化按钮 C.不能改变形状大小 D.不能移动 16.将整个屏幕内容复制到剪贴板上,应按 A.PrintScreen B.Alt+ PrintScreen

数字电路模拟试题 ()

《数字逻辑分析与设计》模拟试题 一、 单项选择题 1. 只有在时钟的下降沿时刻,输入信号才能被接收,该种触发器是( )。 A. 高电平触发器 B.下降沿触发器 C. 低电平触发器 D. 上升沿触发器 2. 下列电路中,属于时序逻辑电路的是( ) A. 编码器 B. 译码器 C. 数值比较器 D. 计数器 3. 若将一个TTL 与非门(设输入端为A 、B )当作反相器使用,则A 、B 端应如何连接( ) A. A 、B 两端并联使用 B. A 或B 中有一个接低电平0 C. 不能实现 4. 在二进制译码器中,若输入有5位二进制代码,则输出有( )个信号。 A. 32 B. 16 C. 8 D. 4 5. 同步RS 触发器的“同步”时指( ) A. RS 两个信号同步 B. Qn+1与S 同步 C. Qn+1与R 同步 D. Qn+1与CP 同步 6. 不是最小项ABCD 逻辑相邻的最小项是( ) A. A BCD B. A B CD C. A B C D D. AB C D 7. 与A B C ++相等的为( ) A. A B C ?? B. A B C ?? C. A B C ++ 8. 测得某逻辑门输入A 、B 和输出F 的波形如图1所示,则F(A ,B)的表达式是( ) A. F=AB B. F=A+B C.B A F ⊕= D.B A F = 图1 9. 某逻辑函数的真值表见表1,则F 的逻辑表达式是( )。

A. AC AB F+ = B. C B AB F+ = C. AC B A F+ = D. AC B A F+ = 10. 要实现 n n Q Q= +1 )。 11. 可以用来实现并/( ) A. 计数器 B. 全加器 C. 移位寄存器 D. 存储器 12. 下列触发器中没有计数功能的是() A. RS触发器 B. T触发器 C. JK触发器 D. Tˊ触发器 13. 某逻辑电路输入A、B和输出Y的波形如图2所示,则此电路实现的逻辑功能是() A. 与非 B. 或非 C. 异或 D. 异 或非 图2 14. 若两个逻辑函数相等,则它们必然相同的是() A. 真值表 B. 逻辑表达式 C. 逻辑图 D. 电路图 15. 能将输入信号转变成二进制代码的电路称为() A. 译码器 B. 编码器 C. 数据选择器 D. 数据分配器 二、填空题 1. 完成下列数制之间的转换(25.25) 10 =() 2 =() 8 A B C F 0 0 0 0 1 0 1 0 1 1 1 1 1 1

数字逻辑考试题.(优选)

数字逻辑考试题(一) 一、填空(每空1分,共17分) 1. (1011.11)B =( ) D =( )H 2. (16)D =( )8421BCD 码。 3. 三态门的输出有 输出高电平 、输出低电平 、 输出高阻态 三种状态。 4. 试举出CMOS 三个电路的优点 、 、 。 5. )(CD B B A Y +=则其对偶式Y ’为 。 6. ABC C B A C AB C B A Y ++=),,( 的最简式为Y= 。 7. 由n 位寄存器组成的扭环型移位寄存器可以构成 进制计数器。 8. 半导体存储器对存储单元的寻址一般有 和矩阵译码两种方式。 9. 一片8K ×8位的ROM 存储器有 个字,字长为 位。 10. 四位环型计数器初始状态是1000,经过5个时钟后状态为 。 11. 在RS 、JK 、T 和D 触发器中, 触发器的逻辑功能最多。 12. 设一个包围圈所包围的方格数目为S ,消去的变量数目为N ,那么S 与N 的关系式应是 。 13. 在卡诺图化简逻辑函数时,圈1求得 的最简与或式,圈0求得 的最简与或式。 二、选择(5分) 1. DE BC A Y +=的反函数为Y =( )。 A. E D C B A Y +++?= B. E D C B A Y +++?= C. )(E D C B A Y +++?= D. )(E D C B A Y +++?= 2. 下列哪个元件是CMOS 器件( )。 A. 74S00 B. 74LS00 C. 74HC00 D. 74H00 3. 十进制数25用8421BCD 码表示为( )。 A. 10101 B. 0010 0101 C. 100101 D. 10101 4. 若用1表示高电平,0表示低电平,则是( )。 A. 正逻辑 B. 负逻辑 C. 正、负逻辑 D. 任意逻辑 5. 下逻辑图的逻辑表达式为( )。

大学计算机基础试题及答案(完整版)

大学计算机基础模拟题 一、单选题 1、完整的计算机系统由(C)组成。 A、运算器、控制器、存储器、输入设备和输出设备 B、主机和外部设备 C、硬件系统和软件系统 D、主机箱、显示器、键盘、鼠标、打印机 2、以下软件中,(D)不是操作系统软件。 A、Windowsxp B、unix C、linux D、microsoft office 3、用一个字节最多能编出(D)不同的码。 A. 8个 B. 16个 C. 128个 D. 256个 4、任何程序都必须加载到(C)中才能被CPU执行。 A. 磁盘 B. 硬盘 C. 内存 D. 外存 5、下列设备中,属于输出设备的是(A)。 A、显示器 B、键盘 C、鼠标 D、手字板 6、计算机信息计量单位中的K代表(B)。 A. 102 B. 210 C. 103 D. 28 7、RAM代表的是(C)。

A. 只读存储器 B. 高速缓存器 C. 随机存储器 D. 软盘存储器 8、组成计算机的CPU的两大部件是(A)。 A、运算器和控制器 B. 控制器和寄存器 C、运算器和内存 D. 控制器和内存 9、在描述信息传输中bps表示的是(D)。 A、每秒传输的字节数 B、每秒传输的指令数 C、每秒传输的字数 D、每秒传输的位数 10、微型计算机的内存容量主要指(A )的容量。 A.RAM B.ROM C.CMOS D.Cache 11、十进制数27对应的二进制数为( D )。 A.1011 B. 1100 C. 10111 D. 11011 12、Windows的目录结构采用的是(A)。 A、树形结构 B、线形结构 C、层次结构 D、网状结构 13、将回收站中的文件还原时,被还原的文件将回到(D)。 A、桌面上 B、“我的文档”中 C、内存中 D、被删除的位置

西安电子科技大学网教数字逻辑电路模拟题资料

西安电子科技大学网教数字逻辑电路模拟 题

模拟试题一 一、单项选择题(每题 2分,共30分) 1 、下列数中最大的数是 [ ] 。 A ( 3.1 ) H B ( 3.1 ) D C (3.1) O D (11.1) B 2 、( 35.7 ) D 的余 3BCD 是 [ ] 。 A 00110101.0111 B 00111000.1010 C 00111000.0111 D 01101000.1010 3 、与非门的输出完成 F= , 则多余输入端 [ ] 。 A 全部接高电平 B 只需一个接高电平即可 C 全部接地电平 D 只需一个接地即可 4 、逻辑函数 F= + B 的最小项标准式为 [ ] 。 A F= B F= C F= D F= 5 、与 AB + AC +相等的表达式为 [ ] 。 A C B C + C D A + 6 、函数 F=(A + C)(B +) 的反函数是 [ ] 。 A G=( + B) ·+· B G=A + C + B · C G=(A +) · C + B · D G=(A ) ·+ (B+ ) 7 、逻辑函数的逻辑相邻项是 [ ] 。 A A C B A C B D D ABC

8 、已知输入 A 、 B 和输出 F 的波形如图所示, 其 F 与 AB 的逻辑关系为 [ ] 。 A 与非 B 或非 C 异或 D 同或 9 、下列逻辑部件属于时序电路的是 [ ] 。 A 译码器 B 触发器 C 全加器 D 移位寄存器 10 、数据选择器的功能是 [ ] 。 A 将一路输入送至多路输出 B 将输入二进制代码转换为特定信息输出 C 从多路输入选择一路输出 D 考虑低位进位的加法 11 、逻辑函数用卡诺图化简时,八个逻辑相邻项合并可消去 [ ] 。 A 一个变量 B 二个变量 C 三个变量 D 四个变量 12 、 JK 触发器从 0 1, 则激励端 J 、 K 的取值为 [ ] 。 A JK=1X B JK=X0 C JK=X1 D JK=0X 13 、移位寄存器的现态为 0110 ,经过左移一位后,其次态为 [ ] 。 A 0110 或 1011 B 1011 或 1010 C 0110 或 1110 D 1101 或 1100 14 、 4 级触发器组成计数器,组成 13 进制计数器,其无效的状态数为 [ ] 。 A 3 个 B 4 个 C 13 个 D 16 个 15 、 N 级触发器组成环形计数器,其进位模为 [ ] 。 A N B 2N C D 二、填空题(每题 2 分,共 10 分) 1. 格雷码的特征是 ________________ 。 2. F= =________________ 。

《数字逻辑与数字系统》期末考试试题(A)

北京邮电大学2008——2009学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 考试注意事项 一、学生参加考试须带学生证或学院证明,未带者不准进入考场。学生必须按照监考教师指定座位就坐。 二、书本、参考资料、书包等物品一律放到考场指定位置。 三、学生不得另行携带、使用稿纸,要遵守《北京邮电大学考场规则》,有考场违纪或作弊行为者,按相应规定严肃处理。 四、学生必须将答题内容做在试题答卷上,做在草稿纸上一律无效。 五、学生的姓名、班级、学号、班内序号等信息由教材中心统一印制。 考试 课程 数字逻辑与数字系统 考试时间 2009年1月13日 题号 一 二 三 四 五 六 七 八 总分 满分 10 20 10 10 10 12 14 14 得分 阅卷 教师 一、选择题(每小题1分,共10分。) 1. )D C B (B )B A (A F ++++==( ) A . B B . A+B C . 1 D .AB 2.同步时序电路和异步时序电路比较,其差异在于后者( ) A . 没有稳定状态 B . 没有统一的时钟脉冲控制 C . 输入数据是异步的 D . 输出数据是异步的 3.(10000011)8421BCD 的二进制码为( )。 A .( 10000011)2 B .(10100100)2 C . (1010011)2 D . (11001011)2 4. 74LS85为四位二进制数据比较器。如果只进行4位数据比较,那么三个级联输入端ab 、a=b 应为( )。 A . ab 接地,a=b 接地 B . ab 接高电平,a=b 接高电平 C . ab 接高电平,a=b 接地

2014年高考理科数学试题(广东卷)及参考答案

2014年普通高等学校招生全国统一考试(广东卷) 理科数学及参考答案 一、选择题:本大题共8小题,每小题5分,满分40分.在每小题给出的四个选项中,只有一项是符合题目要求的. 1.已知集合{1,0,1}M =-,{0,1,2}N =,则M N = A.{1,0,1}- B.{1,0,1,2}- C.{1,0,2}- D.{0,1} 2.已知复数Z 满足(34)25i z +=,则Z= A.34i - B.34i + C.34i -- D.34i -+ 3.若变量,x y 满足约束条件121y x x y z x y y ≤?? +≤=+??≥-? 且的最大值和最小值分别为m 和n ,则 m n -= A.8 B.7 C.6 D.5 4.若实数k 满足09k <<,则曲线 221259x y k -=-与曲线22 1259x y k -=-的 A.离心率相等 B.虚半轴长相等 C.实半轴长相等 D.焦距相等 5.已知向量()1,0,1a =-,则下列向量中与a 成60?夹角的是 A.(-1,1,0) B.(1,-1,0) C.(0,-1,1) D.(-1,0,1) 6.已知某地区中小学生人数和近视情况分别如图1和图2所示,为了解该地区中小学生的近视形成原因,用分层抽样的方法抽取2%的学生进行调查,则样本容量和抽取的高中生近视人数分别是 A.200,20 B.100,20 C.200,10 D.100,10 7.若空间中四条两两不同的直线1234,,,l l l l ,满足122334,,l l l l l l ⊥⊥⊥,则下面结论一定正确的是 A.14l l ⊥ B.14//l l C.14,l l 既不垂直也不平行 D.14,l l 的位置关系不确定 小学生 3500名 初中生 4500名 高中生 2000名 小学 初中 30 高中 10 年级 50 O 近视率/%

江苏省2014年专转本计算机试卷(含答案)

江苏省2014年普通高校专转本选拔考试 计算机基础试题卷 注意事项: 1.本试卷分为试题卷和答题卡两部分,试题卷共8页。全卷满分100分,考试时间90分钟。 2.必须在答题卡上作答,作答在试题卷上无效。作答前务必将自己的姓名和准考证号准确清晰地填写在试题卷和答题卡上的指定位置。 3.考试结束时,须将试题卷和答题卡一并交回。 一、判断题(本大题共20小题,每小题1分,共20分。下列各小题表述正确的在答题卡上将A涂黑,错误的将B涂黑) 1.信息技术是用来扩展人们信息器官功能、协助人们更有效地进行信息处理的一类技术,它引发了第三次工业革命。 2.正整数的补码与原码相同,负整数的补码与原码不同。 3.指令系统是CPU能够执行的所有指令的集合。 4.目前CPU内部的cache采用的是DRAM芯片。 5.目前DVD光驱采用的激光为红色激光或蓝色激光。 6.软件必须依附于一定的硬件和软件环境,否则无法正常运行。 7.为延长软件的生命周期,通常需要进行版本的升级,以减少错误、扩充功能,适应不断变化的环境。 8.使用多路复用技术能很好地解决信号的远距离传输问题。 9.分组交换网的基本工作模式是存储转发。 10.优盘的写保护装置能有效预防计算机病毒。 11.已知“H”的ASCII码值为48H,则可推断出“J”的ASCII码值为50H。 12.MIDI文件和WAV文件都是音频文件。 13. 在全校的学生信息表中找出某个学院学生的所有信息,需要执行投影操作。 14.在Windows中,可以通过控制面板为左手习惯的人设置鼠标右键的选择和拖放功能。 15.在Windows中,卸载磁盘上不再需要的软件,可以直接删除软件的安装目录。 16.在Word中,文本字号的设置只能从字号下拉框所提供的选项中选择。 17.Word的字数统计功能既能统计整篇文档,又能统计部分文档。 18.在Excel中,数据筛选是从数据清单中选取满足条件的记录,不满足条件的记录被删除。19.在Excel中,若选定区域包含多个数据,则合并到一个单元格后原数据全部保留。 20.在PowerPoint中,一个演示文稿只能使用一个幻灯片母版。 二、单项选择题(本大题共50小题,每小题1分,共50分。在下列每小题中,选出一个正确答案,请在答题卡上将所选项的字母标号涂黑) 21.设在某进制下3×3=12,则根据此运算规则,十进制运算5+6的结果用该进制表示为A.10 B.11 C.14 D.21 22.下列不.属于信息技术的是 A.信息的估价与出售B.信息的通信与存储 C.信息的获取与识别D.信息的控制与显示 23.下列关于比特的叙述,错误 ..的是 A.是组成数字信息的最小单位B.既没有颜色也没有重量 C.只有“0”和“1”两个符号D.比特“1”大于比特“0” 24.下列关于微电子技术的叙述,错误 ..的是 A.Moore定律指出单块集成电路的集成度平均每年翻一番

相关文档 最新文档