文档库 最新最全的文档下载
当前位置:文档库 › 2电子记分板

2电子记分板

2电子记分板
2电子记分板

电子记分板设计

设计技术指标与要求:

1.比分为二位十进制数,显示板由发光二极管构成。

2.使用键盘控制输入状态和比分。

3.可以强制清零,可以随时输入两侧的数字。

智能计分器的设计

设计思想:

方案一:

设计出每次可以加减1分、2分、3分、5分的智能加减记分器,把按键的输入信号通过编码器把十进制编译成二进制数码;利用累加器原理,结合寄存器的存储功能,把二进制数码输入到7448译码器来驱动七段数码管以达到显示分数的目的。这种方案设计出的记分器适用于各类学校篮球、排球、乒乓球等竞赛场合。

方案二:

设计出可以随时输入两侧分数的智能记分器。设置0—9十个数字输入键盘,通过编码器把十进制编译成二进制数码DCBA,把DCBA分别输给移位寄存器并行输入端和数据选择器的地址输入端来选择信号作为移位寄存器的CP信号来源。数码显示管通过输入寄存器的DCBA来显示分数。这种方案设计出的记分器不仅适用各类学校篮球、排球、乒乓球等竞赛场合而且可以作为各类知识竟答抢答器的记分部分。应用场合更为广泛。

综合以上方案结合设计技术指标与要求我们选择了方案二进行设计。

由于电子产品对电源电压一般要求比较高,故要有变压、整流、滤波和稳压部分。机械开关或按键回产生抖动,故需要消除抖动电路以消除振动引起的脉冲。主记分电路由编码器(74147)、数据选择器(74LS151)、移位寄存器(74194)和显示器(LED)部分组成。如图(1)所示。

图(1)

一、电源电路

直流电源的最简单的供电方法是用电池。但电池有成本高、体积大、需要不时更换(蓄电池则要经常充电)的缺点,因此最经济可靠而又方便的是使用整流电源。电子电路中的电源一般是低压

直流电,所以要想从220伏市电变换成直流电,应该先把220伏交流变成低压交流电,再用整流电路变成脉动的直流电,最后用滤波电路滤除脉动直流电中的交流成分后才能得到直流电。有的电子设备对电源的质量要求很高,所以有时还需要再增加一个稳压电路。因此整流电源的组成一般有三大部分,见图(2)。

图(2)

1.变压、整流电路

变压电路其实就是一个铁芯变压器。变比为220:6 整流电路用4个二极管组成的桥式整流电路可以使用只有单个次级线圈的变压器,见图(3)。负载上的电流波形和输出电压值与全波整流电路相同为0.9倍的负边电压。所以整流后的电压近似5V。

图(3)

2.滤波电路

整流后得到的是脉动直流电,如果加上滤波电路滤除脉动直流电中的交流成分,就可得到平滑的直流电。采用电容滤波:把电容器和负载并联,如图(4),正半周时电容被充电,负半周时电容放电,就可使负载上得到平滑的直流电。

图(4)

3.稳压电路

交流电网电压的波动和负载电流的变化都会使整流电源的输出电压和电流随之变动,因此要求较高的电子电路必须使用稳压电源。采用集成化稳压电路:近年来已有大量集成稳压器产品问

世,品种很多,结构也各不相同。目前用得较多的有三端集成稳压器,有输出正电压的CW7800系列和输出负电压的CW7900系列等产品。输出电流从0.1A一3A,输出电压有5V、6V、9V、12V、l5V、18V、24V等多种。这种集成稳压器只有三个端子,稳压电路的所有部分包括大功率调整管以及保护电路等都已集成在芯片内。使用时只要加上散热片后接到整流滤波电路后面就行了。外围元件少,稳压精度高,工作可靠,—般不需调试。图(5)是一个三端稳压器电路。本设计使用固定三端稳压器7805,它的输出稳定电压为5V。C2是进一步对高频谐次波滤除,为了保护稳压IC,可以在输入端与输出端接一个二极管。7805的三脚从左到右分别为1--2--3脚,即输入端--地--输出端。

图(5)

二、消除抖动电路

本设计采用简单的与键盘或开关并联电容器,来消除电路抖动。并联电容C和串联的一电阻R,可以是波形上升沿和下降沿变化比较缓慢。因此对对于变化很窄的脉冲起到了平波的作用。抖动电路如图(6)。

图(6)

三、记分器电路

记分器电路为本设计的主电路,也是重点设计的电路。分别由数字控制键盘、编码器、数据选择器、移位寄存器、七段数码显示管和强制清零按钮及甲乙输分控制开关组成。如图(7)所示。

设置0—9十个数字输入键盘,通过编码器把十进制编译成二进制数码DCBA,把DCBA分别输给移位寄存器并行输入端和数据选择器的地址输入端来选择信号作为移位寄存器的CP信号来源。数码显示管通过输入寄存器的DCBA来显示分数。

图(7)

设计所需的元件:

74147(编码器) ------------------------------------------1个;

74LS194(移位寄存器) ----------------------------------4个;

74LS151(数据选择器) -------------------------------------2个;

七段数码显示管(7448译码器集成其中)----------------------------------4个;

74LS04非门 ------------------------------------------------6个;

74LS32正或门 ---------------------------------------------1个;

电阻(1KΩ) --------------------------------------------10个;

单刀双掷开关-----------------------------------------------12个;

(由于仿真软件里没有数字键盘,这里用单刀双掷开关来替代。)

导线若干;

1. 数字键盘部分

实际中应用键盘来控制数值的输入,这里用单刀双掷开关来替代。

0——9 十个开关连接。工作中由于后面电路的要求,开关平时处在上面给后面电路高电平,按下按键的一刻给后面的电路以低电平。来触发编码器和数据选择器工作。

2. 编码部分

由74147功能表可知,输出DCBA是8421BCD码的反码,因此只要在74147的输出端增加反相器就可以获得所要的输出码。74147是9输入端的集成器而我们有10个数字键要输入,观察功能表就可以看出当74147的9个输入端都是高电平时,输出的二进制码经反相器后就是要得到的0的二进制8421BCD码。所以前面开关电路的1—9键分别接74147的9个输入端,0键不接74147编码器只接数据选择器以提供移位寄存器的CP信号。工作中按“0”键时由于74147各个输入端都是高电平,则输出经反相后就是“0”的二进制码。其他的数字经编码器编码的

3.数据选择器部分

数据选择器是为了提供移位寄存器的单脉冲CP信号,使的每次按键是不仅给数字编码还提供CP信号以实现寄存器的存储和移位功能。74LS151的功能表和引脚图如图(11)所示。74LS151的数据输入端只有8个,所以我们选择两块74LS151进行级联电路如图(12)所示。

级联后的使能输入端作为地址端来使用,在两片使能端接一反相器低三位地址选择输入端CBA有两片74LS151的地址输入端相对连接而成。然后两输出Y经一或门输出作为CP信号输给寄存器的CLK。

图(11)图(12)

4. 移位寄存器部分

移位寄存器电路由4片74194(双向移位寄存器)构成。起功能表如图(13)所示。集成移位寄存器74194由四个RS触发器及它们的输入控制电路组成。除了增加4个并行输入端A~D外,还有两个控制输入端S1、S0。如表所示,它们的状态组合可以完成4种控制功能,其中左移和右移两项是指串行输入,数据是分别从左移输入端D SL和右移输入端D SR送入寄存器的。R D为异步清零输入端。其第一行表示寄存器的异步清零;第二行表示当R D=1,CP =1(或0)时,寄存器处于原来状态;第三行表示为并行输入同步预置数;第四、五行为串行输入左移;第六、七行为串行输入右移;第八行为保持状态。图(14)是74194双向移位寄存器控制端的逻辑功能。这里我们

使用保持和并行输入和并行输出功能来实现比分的保持和刷新功能。

图(13)

图(14)

电路的连接

移位寄存部分电路如图(15)所示。其中集成片U8、U9是控制一方分数。U7、U10控制另一方。U8和U7并行输入端都接编码器的输出端,U9、U10的并行输入端分别接对应一方的上片寄存器并行输出端。四片74194清零端RD接在单刀双掷开关,进行高低电平的转换事项强制清零功能。四片CP脉冲信号输入端接至数据选择器输出端。集成片U8、U9的控制端S0、S1接在一起然后接在单刀双掷开关。U7、U10的控制端S0、S1也接在一起然后接一非门后与前两集成片接在同一单刀双掷开关上。来实现两方的比分输入状态。

电路的工作原理

当我们按下一个数字键时编码器输出的二进制数码输入到寄存器的并行输入端。同时按键经过数据选择器提供一个CP单脉冲给寄存器,这样其中一方如U7就可以输出数码至七段数码管和U10的并行输入端。使得数码管个位显示刚按下的数字,当再按一按键时同理U7的输出是刚按下的数字,U10的输出是上一次按下的数字。这样就实现了个位数想十位数的移动。关于双方输分控制键;当单刀双掷开关置在低电平或高电平时,必有其中一方的S0、S1处于保持功能,而另一方的S0、S1处于并行输出状态。强制清零键的‘0’‘1’状态实现全部比分的清零。本电路的设计应输入标准两位分数即5分时应输入05。

5. 显示部分

常用的显示器件有液晶显示(LCD)、发光二极管显示(LED)、等。LCD显示体积小、功耗低,但亮度不高。LED数码管是把发光二极管制成条状,再按一定方式连接,组成8,使用时让某些笔段上的发光二极管发亮,即可组成0-9的一系列数字。

LED数码管分共阳极与共阴极两种,外形及结构如图(16)所示。此电路采用BS系列的数码管——BS207,其正向压降≤3.6V、最大工作电流(全亮)为400mA、最大功耗为600mW、反向击穿电压(每段)≥5V、发光强度(每段)150ucd、结构为共阴。本电路使用的是四输入的译码器集成在其中的数码管。

篮球赛记分牌设计分析

篮球赛记分牌设计 前言 篮球是一项充满乐趣的运动,打篮球不仅可以强身健体,而且能增进队友之间的相互信任。现在篮球普及率已经很高,打篮球不仅仅是专业运动员的运动,也是普通老百姓的活动,不管你是走在学校里,还是公园里,或者是小区里,都能看到篮球场,都能看到打篮球的人,篮球已成为我们大部分人生活里不可缺少的一部分,每天都能听到有人在谈论篮球,说说自己喜欢的NBA巨星……因为喜欢篮球,所以打篮球,时不时会来场激烈的比赛,但是传统的记分方式如粉笔或记计分人员手动翻动记分牌给我们带来诸多不便。随着社会的发展、科学技术的进步以及人们生活水平的不断提高,各种电子产品开始进入人们的生活,使人们的生活更加便利。篮球赛记分牌设计的实现使得我们轻轻松松几个按钮开关就能记下分数,让我们感受到科技给我们带来的巨变。 篮球比赛的胜负是由两支队伍在规定时间里得分多少来决定。由于比赛的不可重复性和绝对的公平性,决定了篮球赛记分牌系统是一个实用性很强、可靠性要求极高的以计算机技术为核心的电子服务系统。这种设备是篮球比赛中不可或缺的一种电子设备,篮球赛记分牌系统设计是否合理,关系到整个篮球比赛系统运行的稳定和可靠,并直接影响到整个篮球比赛的顺利进行。篮球赛记分牌系统是篮球比赛的重要工程项目,是关系到比赛成败的关键工程,这种记分牌还可以运用到其他体育竞赛,如足球、羽毛球。 1 系统总体设计方案 随着单片机[1]广泛应用于各领域,许多用单片机作控制的球赛记时记分系统也随之产生,例如用单片机控制LCD液晶显示器记时记分器和用单片机控制LED七段显示器计时计分器等。 本设计根据所学的C语言基础知识和一些编程思想,对所需要的一些硬件在市场上进行了调查比较,选择了比较简单,容易实现的51单片机,结合运用C语言思想进行方案设计,使用keil uVision软件编写程序。51单片机内部的定时器/计数器进行中断可以结合软件延时实现计时。采用的硬件核心是89C51芯片,其内部采用Flash ROM,具有4KB ROM存储空间,能与3V的超低压工作,电路设计中由于不具备ISP在线编程技术,可以运用STC-ISP烧入程序。这个设计实现的功能是记下双方比分,随时显示,能够随时更改双方比分,能够调整比赛的时间,还有暂停时间,能够在双方更换比赛场地时交换双方比分,时间走完时能发出比赛终止信号。

电子技术乒乓球比赛游戏机课程设计报告书

1绪论 1.1选题背景 1.1.1 课题目的及意义 本次课程设计的容是独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Multisim仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及、加法器及逻辑门电路的一些实际用途,并将理论与实践相结合。 1.1.2 课题的容和要求 独立完成一个乒乓球比赛游戏机的设计,采用EWB电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。 课程设计具体容如下:乒乓球比赛是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判),乒乓球比赛模拟机是用发光二极管(LED)模拟乒乓球运 乒乓球比赛模拟机框图 设计要求:

1、基本部分 (1) 至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从由到左移动,“球”的移动速度能由时钟电路调节。 (2) 当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,表示未击中或违规,则对方得一分。 (3) 设计自动记分电路,甲乙双方各用一位数码管显示得分,每记满9分为一局。 2、发挥部分(选做) (1) 甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (2) 发球次数能由一位数码管显示。 (3) 一方得分,电路自动响铃3秒,此期间发球无效,等铃声停止后方可比赛。 课题任务要求 1、画出总体设计框图,以说明乒乓球比赛游戏机由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 5、自行接线验证、仿真、调试,并能检查和发现问题,根据原理、现象和仿真结果分析问题所在,加以解决。学生要解决的问题包括元器件选择、连接和整体设计引起的问题。 1.2 方案选择 根据设计任务,对照图乒乓球比赛模拟及1.1,可以分为三个模块进行设计:

数电课程设计报告 乒乓球游戏设计

电子线路综合设计 乒乓球比赛模拟及计分器设计 2014年6月

摘要 在信息社会高速发展的今天,数字电路芯片已经实现高度集成化,并逐步渗透到医学、计算机等各个领域,对人类的生活有着深远的影响。本设计采用基本门电路以及74LS系列芯片的搭建,以multisim 12.0软件为平台进行仿真,实现了对乒乓球游戏的模拟。主要解决的问题有: (1)模拟乒乓球的轨迹:用双向移位4位寄存器74194以及基本门电路实现;(2)球速的调节:利用555电路实现; (3)球被击中、犯规的判断; (4)计数器的使用:采用74LS90和74LS161的组合,给玩家计分; (5)关于比分的显示:通过CD4511译码芯片将计数器的输出状态显示到2位共阴极数码管上。 关键词:双向移位4位寄存器、555电路、译码电路、计数器系统

目录 1 设计任务 (1) 2 电路整体设计 (2) 2.1 译码显示电路设计 (4) 2.2 555定时器组成脉冲发生器 (5) 2.3模拟乒乓球电路的设计 (6) 3 电路整体性能的检测 (7) 3.1 译码显示电路的检测 (7) 3.2 脉冲发生器电路的检测················································································· 3.3模拟乒乓球电路的检测··················································································4实验结论····················································································································5课程设计心得体会以及建议····················································································6 Abstract ······················································································································7附录(包含元器件清单以及各元器件功能表) ······················································8参考文献····················································································································

电子记分牌

单片机综合实验 实验报告 学院计算机与电子信息学院 专业电子信息工程班级电信14-2班 姓名学号 实验题目电子记分牌的设计 系统环境自制开发板指导教师左敬龙 实验时间 2016年10月24日至 2016年10月28日实验报告评分:_______

电子记分牌的设计 班级:电信14-2 姓名:陈文广 摘要: 本设计是采用AT89C51单片机设计的一个用于比赛的计时计分器。本设计采用定时器T1中断进行计时,显示部分分为计分显示和计秒显示两部分,均采用数码管显示器显示,用于显示整个比赛赛程的比赛时间和两队的比分情况。采用9个按键实现输入功能,用于赛前调节时间、比赛过程中计分、暂停和开始、比赛时间结束以后报警等功能。 关键词:AT89C51 计时计分数码管 1 课题意义 大多数比赛中都需要向观众和选手展示比赛得分情况,需要用到记分牌。在目前的市场上,普通记分牌系统都需要几百块,价格比较高。本项目设计的记分牌系统,电路简单,成本较低,灵敏可靠,操作方便,具有较高的推广价值。 本设计采用AT89C51单片机作为核心部件,整个系统结构简单、实用性强、操作简便、具有低功耗,可靠性,安全性以及低成本等特点,该设计方案完全满足篮球计分器的设计要求。现在比赛计时计分器的设计大都比较的复杂,所用芯片太多,造成整体的价格提高,而且软件设计比较的复杂。导致性价比不是很高,很难得到广泛的推广。我所设计的这个比赛计时计分器一改他们的缺点,硬件比较的简单,软件也很容易。因此,实用性比较大。 2 总体设计方案 2.1 设计思路 1)设计一个比赛记分牌,用4位LED显示比赛两方的分数(每方分数用2位LED表示)。比赛开始时显示零分。 2)每方都有得分按键和减分按键,得分按键每按一次加一分,减分按键每按一次减一分,并用数码管显示累计的分数。 扩展要求: 3)设置补时按键(例如足球比赛有补时提示)。补时时间可自己设置,时间方式为分分秒秒(即最大为59.99,最少为1秒)。 2.2 设计方案 单片机的选用AT89C51单片机,AT89C51单片机具有指令简单,易学易懂,外围电路简单,硬件设计方便,i/o口操作简单,无方向寄存器,资源丰富,价格便宜、容易购买,资料丰富容易查到,程序烧写简单等优点。 软件控制利用AT89C51单片机内部的定时器/计数器进行中断定时,配合软件延时实现计时。该方案节省硬件成本,并且可综合运用定时器/计数器、中断以及程序设计的知识。 显示电路采用2片2位的数码管分别显示双方比分数和1片4位数码管显示比赛时间。 2.3 设计方框图

单片机课程设计报告-篮球计时计分器

2010 ~ 2011 学年第 2 学期 《单片机应用系统设计与制作》 课程设计报告 教学院(部)电气与电子信息工程学院 教研室电气自动化 指导教师 课程设计时间 2011.5.30~2011.6.10 课程设计班级电气自动化技术2009(*)班 学号 2009******** 姓名张 * *

单片机应用系统设计与制作课程设计成绩评定表 课程设计题目:篮球计时记分器 课程设计答辩或质疑记录: 1、 2、 成绩评定依据: 成绩评定依据: 课程设计考勤情况(20%): 课程设计答辩情况(30%): 完成设计任务及报告规范性(50%): 最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 2011 年 6 月20 日

摘要 篮球计时计分器以单片机为核心,由计时器、计分器、综合控制器等组成。系统采用模块化设计,主体分为计时显示模块、计分显示模块、定时报警、按键控制键盘模块。每个模块的程序结构简单、任务明确,易于编写、调试和修改。编程后利用Keil软件来进行编译,再将生成的HEX文件装入芯片中,采用Proteus软件仿真,检验功能是否能够正常实现,本设计中系统硬件电路主要由以下几个部分组成:单片机AT89C52、计时电路、计分电路、报警电路和按键开关。该系统具有赛程定时设置、赛程时间暂停、及时刷新甲乙双方的成绩以及赛后成绩暂存等功能。 关键词:单片机,计时,计分,显示器,接口 ABSTRACT Time basketball scoring device as the core of SCM includes the timer, scoring devices, integrated controller and other components.This system is used of the modular design, in which the main display module is divided into time display module, scoring display module, timing alarm module, and key control keyboard module. Program structure of each module is simple and clear. So it is easy to write, debug and modify. After programming, firstly we can use Keil software to compile and then generate the HEX file into the chip. Secondly we use the Proteus software simulation to test whether the normal function to achieve. The design of hardware circuit mainly consists of the five components, including AT89C52, timing circuit, scoring circuit, alarm circuit and key switch circuit.The system has many features,such as setting the schedule time, scheduling time to pause, refreshing result of both parties timely, storing temporarily results after the match and so on. KEY WORDS:Microcontroller, Timing, Scoring, Display, Interface

【篮球记分牌】篮球记分牌课程设计实验报告

【篮球记分牌】篮球记分牌课程设计实验报告 《【篮球记分牌】篮球记分牌课程设计实验报告》篮球记分牌课程设计实验报告 XX学校电子技术课程设计报告 题目学院自动化与电气工程学院专 业班级学号学生姓名指导教师完成日期 201X年 XX月XX日 篮球记分牌 摘要 围绕设计要求对篮球记分牌进行设计。首先根据功能要求提出单片机STC89S52RC为控制核心的设计方案;其次进行硬件系统和软件系统的设计,并且根据本次设计所用的V3.72开发板原理图进行设计,然后根据所设计的电路图级程序用Proteus和Keil 进行了仿真,验证了设计方案的正确性,最后,把程序烧进开发板中来完成篮球记分牌的硬件电路。调试和测试结果表明基本上都能满足此次课程设计的要求。本次课程设计,有效加深和巩固了单片机的基础知识,提高了我们的实际动手能力,具有及其深远的意义。 关键词:单片机独立按键蜂鸣器 at24c02 数码管 一、设计要求

1.比赛开始,比分能够全部清零,数码管前2位,末二位做记分用,当中2位做24秒倒计时用。 2.24秒倒计时时间到,蜂鸣器响、指示灯亮,重新开始倒计时(按下开始键),蜂鸣器停止鸣叫、 指示灯灭。 3.比分进行增加后,倒计时从24秒重新开始倒计时。任意时刻24秒可以重新开始倒计时。必 要时候能够关闭倒计时。 4.利用AT24C02或者单片机内部资源保存比分,支持复位保存,掉电保存功能。 二、系统设计及仿真 2.1、系统总体设计 图2-1系统结构图 思想汇报专题本系统采用单片机STC89S52RC为控制核心,系统主要包括显示模块、按键控制模块、蜂鸣器报警模块等。下面对各模块的设计进行论证。 1) 显示模块 单片机系统中,往往需要多位显示。动态显示是一种最常见的多位显示方法,应用非常广泛。所有数码管段选都连接在一起的时候,怎么让数码管显示不一样的数字呢?动态显示是多个数码管,交替显示,利用人的视觉暂停作用使人看到多个数码管同时显示的效果。

篮球计分器设计报告

课程设计报告 课程名称:数字电路课程设计 设计题目:篮球比赛数字计分器 院(部):机械与电子工程电学院 专业:电气信息类 学生姓名: 曾吴广 学号: 2011211006 起止日期: 2013年6月7日-2013年6月22日 指导教师: 李玲纯华贵山

一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 三、电路的设计记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。

数电课设篮球计分板

沈阳航空航天大学 课程设计 (说明书) 篮球计分牌的设计 班级。 学号。 学生姓名。 指导教师。

沈阳航空航天大学 课程设计任务书 课程名称数字逻辑课程设计 课程设计题目篮球记分牌设计 课程设计的内容及要求: 一、设计说明与技术指标 设计一个篮球记分牌电路,技术指标如下: ①得分有1分,2分,3分的情况,电路具有加分、减分和显示比分功能,比分显示 用两位数码管。 ②带有24S进攻违例倒计时功能,可以进行暂停以及重新计时功能。 ③如果进攻超时,喇叭发出两秒报警声音。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 2015 年12 月30 日

一、概述 篮球记分牌用于对篮球比赛的比赛双方实时记分。基于篮球比赛的特点,我们选取了专门的设计方案,用2个LED数码管显示比赛一方的得分,记分员可根据现场比赛得分情况实时记录各队的得分,并及时反馈到LED数码管上。 本文的设计主要利用常见的74LS系列集成电路芯片和555芯片,并通过划分功能模块进行各个部分的设计,最后完成了篮球记分牌设计,设计分为三个模块,分别是比分记分模块、24S计时模块、蜂鸣器2S倒计时模块。其中比分记分模块分为1分、2分、3分加减分设置,通过计数器得到不同的波形,通过74LS192进行加减分;24S倒计时和2S 蜂鸣器倒计时则是通过时钟脉冲采用的以555定时器构成的多谐振荡电路产生,定时电路是以74LS192为主要芯片构成的,辅以数码管和蜂鸣器构成警报与计时器功能,使比赛更趋于公平化。 本次设计的内容可以完成篮球比赛的记分功能:可以分别对两队进行记分包括加分和以防裁判判别失误的减分功能还可以进行记分清零,以便于进入下一场比赛。除了记分功能还包括24S倒计时功能:真切的还原比赛场景,24S进攻违例设置,每当一队进球得分后自动清零从24S重新计时。再24S基础上外加到时间后的2S警报功能:当计时为0时,报警器会响2S停止。 图1 篮球记分牌原理图

数字电路记分牌

《数字逻辑电路》实训报告 专业:通信工程 学生姓名: 学号:龙舟 指导教师:于新业、梁桂英、马姝靓 年月日

实训题目:电子记分牌 1 整机设计 1.1 设计要求 1.1.1 设计任务 制作一个简易电子记分牌 1.1.2 性能指标要求 (1) 设计一个比赛记分牌,用2位LED 显示比赛一方的分数 (2) 有得分按键,每按键一次加一分,并用数码管显示累计的分数 (3) 当累计分数超过9而进位时,亮进位指示灯。 (4) 扩展要求:完成电子记分牌一键加2分功能,甚至是加三分。 1.2 整机实现的基本原理及框图 1.2.1 基本原理 基本原理:此时在单稳态电路(用555芯片)中利用按键开关产生触发信号(无输入触发信号作用时,电路保持不变),在VI 端输入触发信号的作用下(下降沿触发),电路由稳态转换为暂稳态,VO 端产生由一高电平。再输入到计数电路(用74LS192芯片),利用开关实现该电路的加数、减数和复位功能,并输出,最后通过译码显示电路(用74LS48芯片、数码管)译码,接入数码管显示。 1.2.2总体框图 按键 2 各功能电路实现原理及电路设计 2.1 NE555定时器构成的单稳态电路 (1) NE555的基本资料: NE555是一种应用特别广泛作用很大的的集成电路,属于小规模集成电路,在很多电子产品中都有应用。NE555的作用是用内部的定时器来构成时基电路,给其他的电路提供时序脉冲。NE555时基电路有两种封装形式有,一是dip 双列直插8脚封装,另一种是sop-8小型(smd )封装形式。其他HA17555、LM555、CA555分属不同的公司生产的产品。内部结构和工作原理都相同。 (2) NE555的引脚图 单稳 电路 计数器 译码驱 动电路 显示器

基于单片机的篮球比赛电子记分牌-仿真图+完整程序

基于单片机的篮球比赛电子记分牌-仿真图+完整程序

————————————————————————————————作者:————————————————————————————————日期:

NEPU 课程设计 课程单片机课程设计 题目基于单片机的篮球比赛电子记分牌 院系电气信息工程学院测控系 专业班级测控技术与仪器**-*班 学生姓名 **** 学生学号 ************ 指导教师 **** **** 201*年* 月*日

NEPU课程设计任务书 课程单片机课程设计 题目基于单片机的篮球比赛电子记分牌 专业测控技术与仪器姓名**** 学号************* 一、任务 以AT89C51单片机为控制核心,遵循篮球比赛规则,设计一款具有记录比赛分数,比赛剩余时间等基本功能的电子记分牌。 二、设计要求 [1] 要求能实时记录比赛的分数、剩余时间。 [2] 通过对AT89C51单片机的编程,实现比分和剩余时间的显示。 [3] 设计篮球24秒定时器、剩余5秒时发出提示音。 [4] 提交设计报告、电路图及程序源码。 三、参考资料 [1] 张毅刚.单片机原理及应用[M].北京:高等教育出版社,2004. [2] 刘润华,刘立山.模拟电子技术[J].山东:石油大学出版社,2003. [3] 周润景,张丽娜等.PROTEUS入门教程[M].北京:机械工业出版社,2007. [4] 尹仕.电工电子制作基础[M].武汉:华中科技大学出版社,2008. [5] 彭介华.电子技术课程设计指导[J].北京:高等教育出版社,1997. 完成期限 指导教师 专业负责人****** 201*年*月** 日

数字逻辑课设报告——计分器电路的设计

课程设计 (说明书) 计分器电路的设计 班级 / 学号 学生姓名 指导教师

课程设计任务书 课程设计的内容及要求: 一、设计说明 设计一个计分器电路,其原理框图如图1所示。 图1 计分器电路原理框图 二、技术指标 1.“计分器”LED数码管显示位为两位; 2.“计分器”的十进数位仅为两位数,即十位数、个位数; 3.“计分器”应具有“复位”或“置数”控制功能; 4.“计分器”应具有“加1”和“减1”的累计运算功能; 5.“键控”应有“运算选择”(A)、“计数(加1或减1)”(B)和复位/清零(C)三个按键开关; 三、设计要求 1.在选择器件时,应考虑成本,要求采用LED显示。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 四、实验要求 1.根据技术指标制定实验方案;验证所设计的电路。

2.进行实验数据处理和分析。 五、推荐参考资料 1.阎石著. 数字电子技术基础.[M]北京:高等教育出版社,2005年 2.童诗白、华成英主编者. 模拟电子技术基础. [M]北京:高等教育出版社,2006年 3.南新志、刘计训主编. 数字电路实验教程. [M]济南:山东大学出版社,2003年 4.谭博学主编. 集成电路原理与应用. [M]北京:电子工业出版社,2003年六、按照要求撰写课程设计报告 指导教师年月日 负责教师年月日 学生签字年月日 成绩评定表 一、概述

动竞技比赛应用中所需要的功能简单,只需要能实现加分、扣分和清零就可以达到使用的要求。这种简单计分器具有独特的优点,构造简单、造价低廉、操作简单、体积小巧便于临时架设。 另外这种电路同样可以作为计数器记录数据,日常生活中还是有许多地方适用这根据任务书中提出的要求,本课设设计的电路应当实现以下基本功能:能够由用户自主选择运算方式即加1或者减1;在选定的运算方式下,有计数开关实现计数;当一次计数完成或者出现错误时,能够清零;计数结果能以直观的十进制阿拉伯数字反馈给用户。 计分器广泛运用于日常生活中,在运动比赛中,运用芯片搭建的简易计分电路很受欢迎,应用广泛。在运样的计数器的,例如投票统计,人数统计等等。 二、方案论证 设计一个计分器,能够通过运算选择按钮(A)来控制当前运算是加1或者减1,通过计数按钮(B)来实现所选择的运算,另外能够通过置零开关(C)来实现显示计数器的清零。数字显示部分有两个数码管显示两位十进制的阿拉伯数字。 方案一原理框图如图1所示。 图1 计分器电路原理框图 方案二: 总体原理类似方案一,只是将加/减计数器和BCD码译码器整合在一起,用74LS192D芯片实现加、减计数并译码。是电路更加简单稳定,并且降低了制作成本。 本设计采用的是方案二,74LS192D芯片实现加、减计数并译码较容易实现且电路不复杂,且性价比较高。 三、电路设计

基于单片机的电子记分牌设计资料

哈尔滨剑桥学院 毕业设计 论文题目:基于单片机的电子记分牌设计 学生:付文博 指导教师:崔莉讲师 专业:电气工程及其自动化 班级: 12级电气2班 2016年5月

毕业设计审阅评语

毕业设计答辩评语及成绩

基于单片机的电子记分牌设计 摘要 近年来,计算机在智能化、自动化、电子信息等领域得到了飞速的发展。单片机属于微型计算机,能够将计算所需要的模块全都集成在一个体积很小的芯片上。本文利用单片机技术设计了一种电子记分牌,并将这种电子记分牌应用在篮球比赛中,通过电子记分牌实现篮球比赛的计分。本文的电子记分牌除了计分功能,还具有计时功能,能够满足篮球比赛对记分牌的基本要求。本文的硬件系统以单片机作为核心,选用的是单片机AT89S52,它具有低功耗和高性能的特点,属于CMOS 8位微控制器中的一种。本文的电子记分牌还可以根据实际的篮球比赛场上情况,随时对时间和分数的显示数值进行更正,并通过LED数码管进行数值显示。本文对篮球比赛的电子记分牌的硬件系统和软件流程进行了详细介绍。本文的硬件系统主要包括:LED数码管显示功能、按键控制功能以及辅助功能等。本文的软件系统是基于C语言进行编程的,软件部分主要包括:功能选择程序、计分程序、计时程序等。本文设计的用于篮球比赛的记分牌,具有性价比高、功能全面、稳定性高、可操作性强的特点,能够根据实际情况对记分牌进行调整。本文的电子记分牌,在实际使用中,具有一定的研究意义。 关键词:AT89S52 单片机;LED 数码管;篮球记分牌

目录 摘要.................................................................................................................................................. I 1 绪论 (1) 1.1研究目的及意义 (1) 1.2本文的主要研究内容 (1) 2 系统整体方案设计 (3) 2.1系统总体结构 (3) 2.2系统主要功能模块的选型 (4) 2.2.1单片机选型 (4) 2.2.2显示器选型 (6) 2.2.3 74HC373芯片 (11) 2.2.4报警器选型 (13) 3 系统硬件电路设计 (14) 3.1单片机最小系统 (14) 3.2电源电路 (14) 3.3时钟振荡电路 (15) 3.4复位电路 (16) 3.5按键电路 (16) 3.6显示电路 (18) 3.7蜂鸣报警电路 (19) 4 系统软件编程设计 (21) 4.1开发环境介绍 (21) 4.2系统主程序流程图 (21) 4.3延时程序 (23) 4.4中断及蜂鸣器报警程序 (24) 4.5按键模块程序 (25) 4.6显示模块程序 (25) 5 Protues仿真 (28) 5.1Protues简介 (28)

单片机课程设计报告--电子记分牌

第一章系统概述 1.1 背景知识 现在大多数比赛活动中,都会遇到要向观众和选手展示选手得分的情况,需要用到电子记分牌。由于单片机的集成度高,功能强,实用性好,特别是它具有重量轻,功耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点,是单片机迅速得到了推广应用,目前已成为测量控制应用系统中的优选机种和新电子产品的关键部位。本次设计的记分牌,也是充分利用了单片机的以上优点,因而具有电路简单,成本较低,灵敏可靠,操作方便的优点。 1.2设计内容及要求 1.2.1设计内容 (1)选51单片机,晶振采用12MHz。 (2)启动时现实的初始分数为50。 (3)选手每答对一题加1分;每打错加分和减分一题减1分。加分和减分分别由“加”、“减”按钮(K1和K2)实现。 (4)积分范围为00—99。 (5)裁判按下复位按钮(K3)时,数码管显示初始分数50。 (6)按键按下时有提示声,当有键按下时蜂鸣器发出声音,按键释放时停止发声。 1.2.2设计要求 (1)按照要求完成系统分析及方案设计。 (2)完成硬件原理图的设计,并选择相关元器件。 (3)完成软件流程图的设计,编写相应的单片机控制程序。 (4)撰写设计报告。

第二章系统方案设计 2.1 总体方案 电子记分牌的设计主要由单片机主控模块、显示模块、按键模块、电源模块、发声模块等组成,系统框图如图所示。 图2.1方案设计框图 2.2 系统组成 硬件电路由晶振电路、复位电路、80C51单片机、独立式键盘、显示电路和蜂鸣器驱动电路组成。 软件部分主程序由初始化、显示程序、键盘扫描和按键处理程序、蜂鸣器发声程序和延时子程序等组成。

篮球赛计时与计分系统的设计报告

设计报告 设计包括四个模块。他们是24秒显示,计时器,记分器,计节器。24秒显示能按键控制24秒计时器,当控球时间超过24秒时,可发出报警信号。计时器,可按键调节。可以随时暂停计时,暂停比赛,在每节结束和全场结束时报警。记分器记录两队的积累分数,可通过按键分别加1、2、3分。 1 系统设计方案 本设计由STC89C52RC 编程控制LCD 液晶显示器作球赛计时计分系统。 1.1 系统构成框图 基于单片机系统的篮球计时计分器的系统构成框图如图所示。 GND VC C VL RS RW EN DB 0DB 1DB 2DB 3DB 4DB 5DB 6DB 7LED+LED-J2CON16 12MHZ P1.01P1.23P1.34P1.45P1.56P1.67P1.78RS T 9P3.0/RXD 10P3.1/TXD 11P3.2 INT012P3.3/INT113P3.4/T014 P3.5/T115P3.6/WP 16P3.7/RD 17XTAL218XTAL119GND 20 P2.0 21 P2.122P2.223P2.324P2.425P2.526P2.627P2.728NA 29ALE 30EX_LVD 31P0.732P0.633P0.5 34P0.435P0.336P0.237P0.138P0.039VC C 40 P1.12STC40 STC1 +5V R210K 1 2 C110uF 1 2 C222 1 2 C3 22+5V S6 S7 S5 P3.412 J1 CON2 S10 SW SPST +5V R3 10K DB 0DB 1DB 2DB 3DB 4DB 5DB 6DB 7 DB 0DB 1DB 2DB 3DB 4DB 5DB 6DB 7P2.6 P2.0P3.2P1.0P2.7+5V P1.5 P3.2 P1.4 P2.6 P3.3S8 P3.3 1 LS 1 SPEAKER +5V R110K P2.0 P1.1P1.2P1.3P1.4P1.5 1 3 2 Q1PNP P2.7S2 S3 S1 P1.1 P1.2 P1.0 S4 P1.3 S9 P3.4 图1.1 系统构成框图 本系统采用单片机STC89C52RC 作为本设计的核心元件。利用LCD1602作为显示器件,第一行显示双方比赛总分数,第二行依次显示节数,倒计时时间,24秒倒计时。赛程计时采用倒计时方式。即比赛前将时间设置好,比赛开始时启动

篮球记分牌verilog设计说明

数字逻辑设计及应用课程设计报告 姓名: 学号: 选课号:79 设计题号:23

一.设计题目 篮球比赛数字计分牌 二.设计要求 1.分别记录两队得分情况; 2.进球得分加2分,罚球进球得分加1分; 3.纠正错判得分减2分或1分; 4.分别用三个数码管显示器记录两队的得分情况。 三.设计过程 (一)设计方案 1.模块设计 题目中要用三个数码管来记录两队的得分情况,本文采用输出为8421bcd码,外接译码器和数码管的方式来实现。 先设计一个带有进位(co)和借位(ci)输出的模块pad,输出端(num)输出4位8421bcd码外接译码器和数码管,pad模块还带有加一输入端(a1),加二输入端(a2),减一输入端(d1),减二输入端(d2)。输入端与开关相接,操作者按下开关即给该端口一个脉冲信号,各输入端口由上升沿触发。如果操作者同时按下多个端口,输出端口将保持原来的信号不变。pad模块功能图见图1-1。 图1-1 pad模块输入输出端口及功能

然后将三个相同的pad模块进行级联,构造为新的模块numberpad,从而得到带有三个数码管的篮球记分牌。甲乙两队都将分别使用这个记分牌。级联图见图1-2。 图1-2 pad模块级联图 2.模块部的算法流程 每个模块有四个输入端口a1,a2,d1,d2来进行触发,触发事件太多,因此构造rem=a1|a2|d1|d2作为新的触发信号。因此,只要a1,a2,d1,d2中任意一个按键被按下,将会发出一个脉冲,rem也就会产生一个脉冲。但是可能出现多个按键同时按下的情况,这样会产生冲突。所以在always语句块中,进行判断,看是否a1,a2,d1,d2中只有一个处于高电平,若同时处于高电平,则输出维持原来的值不变。 判断完端口a1,a2,d1,d2中哪一个输入了以后,就要进行加1,加2,减1,减2的操作。 加1分为以下情况:(1)若num已经计数到9即1001,再加1则num应变为0000,进位端co输出1;(2)若num不为9,则直接加1,co输出0。 加2分为以下情况:(1)若num已经计数到8即1000,再加2则num应变为0000,进位端co输出1;(2)num已经计数到9即1001。再加2则num应变为0001,进位端co 输出1;(3)若num不为8或9,则直接加2,co输出0/。 减1分为以下情况:(1)若num此时为0,再减1则num应变为9即1001,借位端ci 输出1;(2)若num不为0,则直接减1,ci输出0。 减2分为以下情况:(1)若num此时为0,再减2则num应变为8即1000,借位端ci 输出1;(2)若num此时为1,再减2则num应变为9即1001,借位端ci输出1;(3)若num不为0或1,则直接减2,ci输出0 算法流程图见图1-3

基于单片机的篮球记分牌设计

一、设计目的:用AT89S52单片机设计一个篮球比赛计分牌 二、功能要求: 8位LED 数码显示,对A 、B 两队进行计分,具有加1分、加2分、加3分,复位和切换及中场换场的功能。 三、方案论证: 系统框图设计如图1所示: AT89C52单片机系统初始化按键检测加分值 判断甲乙两队谁加分 甲乙两队是否换场 按键检测 数码管显示甲乙队分数 甲乙队分数对换 是 图1

四、系统硬件设计: 系统硬件设计如图2所示: 图2 系统硬件设计

五、软件设计: (1) 程序流程图如图3所示: AT89C52系统初始化 按键检测 KEY4是否按下 KEY5是否按下乙队加分KEY1KEY2KEY3甲队加分KEY1 KEY2 KEY3 KEY6是否按下甲乙队分数对换甲乙队分数清零 是否是是是否否否 否否 否 是是 是是是 数码管显示乙队分 数 数码管显示甲队分 数 图3 程序流程图 (2)程序: #include #include #define uchar unsigned char #define uint unsigned int sbit KEY1=P1^0; //定义按键输入端口 ,加一分 sbit KEY2=P1^1; //定义按键输入端口 ,加两分 sbit KEY3=P1^2; //定义按键输入端口 ,加三分 sbit KEY4=P1^3; //定义按键输入端口,甲队计分数 sbit key5=P1^4; //定义按键输入端口 ,乙队计分数 uchar code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; uchar aa,bb,cc,bai,shi,ge; uint jiafenshu,yifenshu,m,n; void display();

模电设计报告

模 电 课 程 设 计 报 告 课题名称:多用信号发生器设计学院:信息工程学院 班级:07电子信息工程二班姓名: 学号: 指导老师: 时间:2009年6月14日

引言 信号发生器在电子技术应用领域里的用途非常广泛,例如:测量,控制,通信和广播电视系统中,常常需要频率可变和幅度可调的正弦波信号发生器,在数字系统和自动控制系统也常常需要方波,三角波的非正弦波信号发生器。目前我们实验室用的较多的波形发生器主要有两种:低频正弦波发生器和通用多波形发生器,前者只能产生正弦波,调节范围不大,但是信号稳定,失真度底,主要用在对波形有很高的要求的实验中;后者能产生正弦波、方波和三角波,也有的能产生三种以上波形。 设计题目及要求 信号发生器是常用的测试仪器,常用的信号源有正弦波、方波、三角波、锯齿波、阶梯波等。 1、要求完成原理设计并通过软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围100Hz~3KHz、输出幅值≥5V、负载电阻1KΩ。 软件仿真部分元器件不限,只要元器件库中有即可,但需要注意合理选取。 2、要求实际制作部分 上述(4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围100Hz~3KHz、输出幅值≥5V、负载电阻1KΩ。 硬件制作部分核心元器件:uA741、LM324、9013,电阻电容不限。 系统方案论证及选择 (1)单元电路设计 正弦波信号产生单元 下图电路为桥式振荡电路

电子计分板设计报告

河南城建学院电子技术基础课程设计报告 电子记分板 姓名:张涛 学号:091412139 专业班级:0914121 指导老师:刘晓芳 所在院系:电气与信息工程学院 2014年6月19日

摘要 本设计运用键盘输入比分,具有强制清零的功能。我们可以通过设计要求和内容,思考怎样设计方案,然后再进行方案的对比,选出可行的方案,最优的方案。根据方案进行各个模块的设计。经过图书馆的查阅资料和老师的指导同学的帮助完成设计,模块完成之后连接整体电路。最后对方案进行软件仿真,连接线路调试,经过多次仿真达到设计要求,实现了其功能。 设计的原理和方法是:首先通过编码器将0~9这十个数字变成各自的四位二进制代码,然后再通过译码器将四位二进制代码译成对应的数字显示出来,再利用移位寄存器控制比分状态的保持和实现强制清零的功能。甲乙比分可以通过数码管显示,每个数码管能够显示0~9十个数字,所以最高显示积分为99,最小为0。这种原理比较简单,它采用集成电路,比较容易自制。由于这种键盘控制输入比分,所以它可以适用于排球篮球网球等一些体育比赛项目,还可以在一些知识竞答等比赛中应用,故其应用比较广泛。 我们在懂得原理的基础上,通过合适的器件和线路,我们最后实现了甲乙比分的显示,达成了我们的目的。

目录 1 概述 (1) 2 系统总体方案及硬件设计 (2) 2.1 总体方案 (2) 2.2 记分板总电路 (2) 2.3 设计所需的元件 (3) 3 各模块设计 (3) 3.1 数字键盘部分 (3) 3.2 编码器部分 (5) 3.3数据选择器部分 (6) 3.4 移位寄存器部分 (8) 3.5 显示部分 (10) 4 软件仿真 (11) 4.1 软件仿真图 (11) 5课程设计体会 (12) 参考文献 (12) 附1:系统原理图 (13)

相关文档