文档库 最新最全的文档下载
当前位置:文档库 › 运算放大器电路及版图设计报告

运算放大器电路及版图设计报告

运算放大器电路及版图设计报告
运算放大器电路及版图设计报告

目录

摘要 (2)

第一章引言 (3)

第二章基础知识介绍 (4)

2.1 集成电路简介 (4)

2.2 CMOS运算放大器 (4)

2.2.1理想运放的模型 (4)

2.2.2非理想运算放大器 (5)

2.2.3运放的性能指标 (5)

2.3 CMOS运算放大器的常见结构 (6)

2.3.1单级运算放大器 (6)

2.3.2简单差分放大器 (6)

2.3.3折叠式共源共栅(Folded-cascode)放大器 (7)

2.4版图的相关知识 (8)

2.4.1版图介绍 (8)

2.4.2硅栅CMOS工艺版图和工艺的关系 (8)

2.4.3 Tanner介绍 (9)

第三章电路设计 (10)

3.1总体方案 (10)

3.2各级电路设计 (10)

3.2.1第三级电路设计 (10)

3.2.2第二级电路设计 (11)

3.2.3第一级电路设计 (12)

3.2.4三级运放整体电路图及仿真结果分析 (14)

第四章版图设计 (15)

4.1版图设计的流程 (15)

4.1.1参照所设计的电路图的宽长比,画出各MOS管 (15)

4.1.2 布局 (17)

4.1.3画保护环 (17)

4.1.4画电容 (17)

4.1.5画压焊点 (18)

4.2 整个版图 (19)

第五章 T-Spice仿真 (21)

5.1提取T-Spice文件 (21)

5.2用T-Spice仿真 (24)

5.3仿真结果分析 (26)

第六章总结 (27)

参考文献 (28)

摘要

本次专业综合课程设计的主要内容是设计一个CMOS三级运算跨导放大器,该放大器可根据不同的使用要求,通过开关的开和闭,选择单级、两级、三级组成放大器,以获得不同的增益和带宽。用ORCAD画电路图,设计、计算宽长比,仿真,达到要求的技术指标,逐级进行设计仿真。然后用L-Edit软件根据设计的宽长比画版图,最后通过T-Spice仿真,得到达到性能指标的仿真结果。

设计的主要结果归纳如下:

(1)运算放大器的基本工作原理

(2)电路分析

(3)设计宽长比

(4)画版图

(5)仿真

(6)结果分析

关键词:CMOS运算跨导放大器;差分运放;宽长比;版图设计;T-Spice仿真

第一章引言

众所周知,微电子技术、电力电子技术和计算机技术在相互渗透、相互支撑和相互促进的紧密关系中,均得到了飞速的发展。现代信息社会的支柱——计算机和通讯,其主要硬件设备是集成电路。以集成电路的发展为标志的微电子技术无所不在,己成为现代信息社会的基础。

自从60年代世界上第一块集成电路在美国诞生以来,集成电路技术以惊人的速度发展。第一块集成电路上只有四个晶体管,而目前的集成电路已经可以在一片硅片上集成几千万只晶体管,甚至上亿只晶体管。集成电路的发展经历7小规模IC (SSI)、大规模IC (LSD 、超大规模IC (VLSI)和特大规模(ULSI)的不同阶段,集成电路的性能(高集成度、高速度和低功耗等)迅速提高。集成电路工艺已发展到深亚微米,特征带宽可达到0.18 um,甚至更小。

运算放大器在模拟电路中是个非常重要而且非常复杂的模块。它非常广泛的应用于开关电容滤波器、调解器、整流器、峰探测器、模拟到数字(AID)和数字到模拟(D/A)转换器等模块中。它的性能直接影响着整个电路的动态范围和高频的应用。

本次课程设计从运算放大器结构特点及其工作原理出发,对其各种参数的定义和它们之间相互关系作了详细的阐述。为保证放大器的稳定性,选作单级放大器时,需米勒补偿,作为两级或单级放大时需进行极间补偿。用ORCAD画电路图,并设计合适的宽长比,模拟仿真,使其达到设计要求;根据所设计的宽长比用L-Edit软件进行版图设计,从版图中提取T-Spice 文件;根据版图中提取的参数利用T-Spice软件进行仿真,仿真结果与ORCAD仿真结果进行比较,不满足设计指标,则修改版图,再提取参数,做仿真比较,使其结果满足所设计要求。

第二章基础知识介绍

2.1 集成电路简介

集成电路(integrated circuit),一种微型电子器件或部件。采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,这样,整个电路的体积大大缩小,且引出线和焊接点的数目也大为减少,从而使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。

集成电路具有体积小,重量轻,引出线和焊接点少,寿命长,可靠性高,性能好等优点,同时成本低,便于大规模生产。它不仅在工、民用电子设备如收录机、电视机、计算机等方面得到广泛的应用,同时在军事、通讯、遥控等方面也得到广泛的应用。用集成电路来装配电子设备,其装配密度比晶体管可提高几十倍至几千倍,设备的稳定工作时间也可大大提高。

2.2 CMOS运算放大器

从运放的模型出发来分析理想运放和实际的运放的差别,探讨了测量运算放大器的各种性能指标,然后,介绍一下当今比较常见的放大器的结构。

2.2.1理想运放的模型

运算放大器的符号如图一,理想运算

放大器的理想参数为: (1)差模信号的开环

电压增益为无穷大,即 Av=∞ ;(2) 差动

输入电阻为无穷大,即Rin=∞; (3)输出

电阻为零,即Rout=0;(4)开环频带宽度为

无穷大; (5)当输入同相端(“+”)与反相

端(“一”)的电压相等时,输出电压Vout=0。 _ _ _

图2.1 运算放大器符号上述条件下,运算放大器的两输入端之间为零端口化,即所谓“虚短”状态。零端口是

一个二端网络.它的电压和电流同时为零,其特性可由下式表示

2.2.2非理想运算放大器

实际的运算放大器只能十分接近上述的理想放大器,或者说在理想运算放大器中增加一系列的模型参数,使其更接近实际情况,如差动输入电阻、差动输入电容、输出电阻共模输入电阻等。

2.2.3运放的性能指标

(1)增益

对于实际的运算放大器,电压增益是有限的,在低频和小信号情况下,典型值是从到。

(2)线性范围

输出电压在一定的范围内,与输入电压的线性关系=A(-)才成立。一般情况下,的最大值是比正的电源电压要小;而的最小值要比负的电源电压大一些。

(3) 失调电压

对于理想的运算放大器来说,如果,则。但在实际的器件中,这种关系并不完全正确。当输入短接时,在输出端电压 , 与运放的增益成正比,因此,用输入失调电压(使的差分输入电压)来表示更为方便。其典型值在士2mV 到10 mV之间。

(4)共模抑制比(CMRR)

共模电压增益为

差分电压增益为

共模抑制比(CMRR)被定义为差分电压增益与共模电压增益的比值,即或者等于

。对于CMOS放大器,其值大致在60dB80dB之间。共模抑制比表明的是运算放大器抑制噪声的能力,因此一个大的CMRR值是很重要的。

(5)频率响应

由于存在寄生电容、有限的载流子迁移率等原因,在高频的情况下,电压增益会随着频率的增加而减小,通常用单位增益带宽(即在电压增益为电压1时的频率)来描述。单位增益带宽通常在1100 Mhz范围内。

(6)非零输出电阻

实际的运算放大器的开环输出电阻并不为零。带输出缓冲的放大器,它的输出电阻大致在0.1 5kΩ范围内;而不带输出缓冲级的放大器,它的输出电阻要大的多,这将增加对连接到输出的电容充放电的时间,也就是降低了运算放大器的速度和最高的信号频率。

(7)噪声

MOS晶体管由于它本身的结构、工艺技术和在运算放大器中的偏置条件等原因,在低频情况下显示了较高的闪烁噪声,而在高频情况下热噪声是主要的。这些噪声晶体管在运放的输出端产生了噪声电压,除以电压增益等效为输入噪声电压源,它严重影响了运算放大器的动态范围。

(8)DC功耗

理想放大器中没有任何的直流功耗,而在实际的运放中,运算放大器的直流功耗的典型值为0.2510mW。

上述描述的都是在实际的运算放大器的设计过程中所要考虑的主要参数,但这些参数之间的实现是相互矛盾的。要实现某些参数就要以牺牲其它性能指标为代价,因此,要设计一个高性能的运算放大器,多方面的优化是一个非常关键的问题。

2.3 CMOS运算放大器的常见结构

2.3.1单级运算放大器

运算放大器是一种有足够高的正向增益的放大器(受控源),当加上负反馈时,其闭环转移函数和运放增益无关。根据不同的应用,运算放大器所采用的结构是不一样的,运放的基本结构图如图2.2所示。

图2.2 运放基本结构

单级放大器的增益 ,式中为输入端跨导,为输出电阻。

2.3.2简单差分放大器

如图2.3是单端输出的差分放大器的结构图。电路的小信号、低频电压增益等于//),大约在50倍左右。可以看出,简单差分放大器的增益比较低。增加增益的

方法有两种:增加输入器件的跨导或者是增加整个电路的输出电阻。器件的跨导

,减小器件的沟道长度可以增加跨导,但同时它也降低了输出电阻(由于沟道调制效应)。因此,它是降低而不是增加了放大器的增益。另外,从电路的面积和功耗方面来说,靠增加器件的宽度和偏置电流来增大器件的跨导也是不可取的。总的来说,最直接有效的方法就是增加放大器的输出电阻。

图2.3 简单差分放大器

2.3.3折叠式共源共栅(Folded-cascode)放大器

折叠式共源共栅放大器是目前使用最为广泛的单级放大器之一,它解决了套叠式共源共栅放大器无法连接成单位缓冲器结构的缺陷.由于它的输出电阻比较高,因此常做单级运算跨导放大器(OTA)来使用。图2.4是采用n沟道差分输入的共源共栅放大器。

电路中,负载电容和补偿电容是同一器件。在两极放大器中因负载电容而产生的非主极点在此电路中并不存在,因此它可以获得较高的闭环增益带宽。同时,共源共栅结构本身的Mille电容小,在高频下,电源抑制作用也没有降低。

图2.4折叠式共源共栅放大器

2.4版图的相关知识

2.4.1版图介绍

集成电路版图是电路系统与集成电路工艺之间的中间环节,是一个必不可少的重要环节。通过集成电路版图设计,可以将立体的电路系统变为一个二维的平面图形,再经过工艺加工还原为基于硅材料的立体结构。因此,版图设计是一个上承电路系统,下接集成电路芯片制造的中间桥梁。

2.4.2硅栅CMOS工艺版图和工艺的关系

1. N阱——做N阱的封闭图形处,窗口注入形成P管的衬底

2. 有源区——做晶体管的区域(G,D,S,B区),封闭图形处是氮化硅掩蔽层,该处不会长场氧化层

3. 多晶硅——做硅栅和多晶硅连线。封闭图形处,保留多晶硅。

4. 有源区注入——P+,N+区。做源漏及阱或衬底连接区的注入

5. 接触孔——多晶硅,扩散区和金属线1接触端子。

6. 金属线1——做金属连线,封闭图形处保留铝

7. 通孔——两层金属连线之间连接的端子

8. 属线2——做金属连线,封闭图形处保留铝

2.4.3 Tanner介绍

Tanner集成电路设计软件是基于Windows平台的用于集成电路设计的工具软件,包括S-Edit,T-Spice,W-Edit,L-Edit与LVS,从电路设计、分析模拟到电路布局一应俱全。L-Edit是Tanner Tools Pro工具软件中的一个软件包,可以在同一窗口中进行版图设计、设计规则检查、网表提取、标准单元自动布局与连线等工作。配合在S-Edit 中建立的相应电路,可以在Tanner Tools Pro提供的另一个工具LVS完成布局与电路的比对。

第三章电路设计

3.1总体方案

运放总体框图见设计任务书图1。该运放由三级放大组成,可通过开关控制,选择单级、两级、三级组成放大器,以获得不同的增益和带宽。为保证放大器的稳定性,选做单级放大时,需进行米勒补偿,作为两级和三级放大时,需进行极间补偿。

3.2各级电路设计

OTA设计参数:最大负载电容20pF,第一级GBW达到0.4MHz一级增益20dB,二级增益65dB,三级增益95dB。

3.2.1第三级电路设计

采用PMOS差分对作为输入的简单OTA,画出电路结构,设计宽长比,仿真,通过不断改宽长比,仿真,直到达到设计要求为止。其电路结构和偏置电路如图3.1所示。

inp

图3.1 第三级电路图

加上偏置电路,和负载电容,仿真结果如图3.2

Frequency

1.0Hz

10Hz 100Hz 1.0KHz 10KHz 100KHz 1.0MHz 10MHz 100MHz

VDB(outn)

VP(outp)

-100

-50

50

100

150

200

图3.2 第三级仿真结果

由仿真结果知带宽GBW=311KHz ,增益为40dB ,相位裕度91°,基本满足设计要求。 3.2.2第二级电路设计

采用NMOS 作为输入的全差分折叠式共源共栅OTA ,设计过程同前,其电路结构和偏置电路如图3.3所示。

图3.3 第二级电路图

加上偏置电压,和补偿电容,仿真结果如图3.4

Frequency

1.0Hz

10Hz

100Hz 1.0KHz 10KHz 100KHz 1.0MHz 10MHz 100MHz

VDB(outn)

VP(outp)

-200

-100

100

200

图3.4 第二级仿真结果

由仿真结果知带宽GBW=261KHz ,增益为66dB ,相位裕度63°,完全满足设计要求。 3.2.3第一级电路设计

采用PMOS 作为输入的全差分对称OTA ,其拓扑结构如图3.5所示,本级的偏置网络与最后级放大器的一样。

0V23.2v

0C6

5p

inp2

C5

5p V3

5Vdc

inp3

inn2

inn2

outn

outp V4

1Vac 3.2v

Vdd

inn3

图3.5 第一级电路图

加上偏置电压,和补偿电容,仿真结果如图3.6

Frequency

1.0Hz

10Hz 100Hz 1.0KHz 10KHz 100KHz 1.0MHz 10MHz 100MHz

VDB(outn)

VP(outp)

-400

-300

-200

-100

-0

100

图3.6 第一级仿真结果

由仿真结果知带宽GBW=154KHz ,增益为93dB ,相位裕度79°,基本满足设计要求。

3.2.4三级运放整体电路图及仿真结果分析

整体电路图见附图一

总电流如图3.7,可知功耗等于(5V×17.6uA)=0.88mW0.1mW,满足功耗要求。

图3.7 总电流

扫描共模输入范围1.8v 3.2v,结果如图3.8,由图可知,该范围的电压都满足要求。

100

-0

-100

-200

-300

-400

1.0Hz10Hz100Hz 1.0KHz10KHz100KHz 1.0MHz10MHz100MHz

VDB(outn)VP(outp)

Frequency

图3.8 扫描电压结果

第四章版图设计4.1版图设计的流程

4.1.1参照所设计的电路图的宽长比,画出各MOS管

①NMOS晶体管的版图和结构:

②PMOS晶体管的版图和结构:

③MOS管的并联,共用源极或漏极:

举例w=40u,l=2u,由两个PMOS管并联,所以每个管子的w=20u,l=2u,版图如下:

M31和M32是差分对,采用叉指型画法,大大节省了版图面积,并在器件两端加上虚拟器件,对差分管起保护作用。如下图所示:

4.1.2 布局

根据图,基本布局为第一排和第三排为PMOS,第二排和第四排为NMOS。

4.1.3画保护环

PMOS管保护环如下:

4.1.4画电容

根据公式C = A×C ox = WL×εox εo /Tox进行计算,设置电容参数,画出所对应的面积。

版图如下:

然后按照电路图连接到版图中相应位置

4.1.5画压焊点

焊盘的具体图层尺寸:Metal1:100×100;Metal2;100×100;Overglass:90×90;Via:94×94;Pad Comment:100×100。其中,Metal1、Metal2 、Pad Comment三者重合。注意,焊盘与焊盘之间的间距最少为75um。应尽量大一些。

版图如下:

六个压焊点的摆放,考虑到减小VDD和GND的相互影响,分别放在两个角上,所以上面三个依次为OUTP,OUTN,VDD,下面三个依次为GND,INN,INP。

4.2 整个版图

整个版图如下

版图说明:

(1)版图面积:550um×540um

(2)MOS器件布局:

第一排PMOS:M35,M31,M32,M2,M27,M271,M107,M108

第二排NMOS:M33,M34,M6,M3,M78,M61,M212,M71,M73,M58,M56,M57,M53

第三排PMOS:M205,M203,M204,M206,M51,M52,M54,M56,M72,M105,M10,M101,M102,M11 第四排NMOS:M14,M201,M202,M15,M207,M208,M211,M209,M210,M109,M110,M103,M104 (3)压焊点:

上面三个依次接:OUTP,OUTN,VDD

下面三个依次接:GND,INN,INP

高频功率放大器的设计及仿真

东北大学秦皇岛分校电子信息系 综合课程设计 高频功率放大器的设计及仿真 专业名称电子信息工程 班级学号5081112 学生姓名姜昊昃 指导教师邱新芸 设计时间2011.06.20~2011.07.01

课程设计任务书 专业:电子信息工程学号:5081112学生姓名(签名): 设计题目:高频功率放大器的设计及仿真 一、设计实验条件 Multisim软件 二、设计任务及要求 1.设计一高频功率放大器,要求的技术指标为:输出功率Po≥125mW,工作 中心频率fo=6MHz,η>65%; 2.已知:电源供电为12V,负载电阻,RL=51Ω,晶体管用2N2219,其主要参 数:Pcm=1W,Icm=750mA,V CES=1.5V, f T=70MHz,hfe≥10,功率增益Ap≥13dB(20倍)。 三、设计报告的内容 1.设计题目与设计任务(设计任务书) 2.前言(绪论)(设计的目的、意义等) 3.设计主体(各部分设计内容、分析、结论等) 4.结束语(设计的收获、体会等) 5.参考资料 四、设计时间与安排 1、设计时间:2周 2、设计时间安排: 熟悉实验设备、收集资料:2 天 设计图纸、实验、计算、程序编写调试:4 天 编写课程设计报告:3 天 答辩:1 天

1.设计题目与设计任务(设计任务书) 1.1 设计题目 高频功率放大器的设计及仿真 1.2 设计任务 要求设计一个技术指标为输出功率Po≥125mW,工作中心频率fo=6MHz η>65%的高频功率放大器。 2. 前言(绪论) 我们通过“模电”课程知道,当输入信号为正弦波时放大器可以按照电流的导通角的不同,将其分为甲类、乙类、甲乙、丙类等工作状态。甲类放大器电流的导通角为360度,适用于小信号低功率放大;乙类放大器电流的导通角约等于180度;甲乙类放大器电流的导通角介于180度与360度之间;丙类放大器电流的导通角则小于180度。乙类和丙类都适用于大功率工作。 丙类工作状态的输出功率和效率是上述几种工作状态中最高的。高频功率放大器大多工作于丙类。但丙类放大器的电流波形失真太大,因而只能用于采用调谐回路作为负载的谐振功率放大。由于调谐回路具有滤波能力,回路电流与电压仍然极近于正弦波形,失真很小。 可是若仅仅是用一个功率放大器,不管是甲类或者丙类,都无法做到如此大的功率放大。 综上,确定此高频电路由两个模块组成:第一模块是两级甲类放大器;第二模块是一工作在丙类状态的谐振放大器,它作为功放输出级,最好能工作在临界状态。此时,输出交流功率达到最大,效率也较高,一般认为此工作状态为最佳工作状态。 3. 系统原理 3.1 高频功率放大器知识简介 在通信电路中,为了弥补信号在无线传输过程中的衰耗要求发射机具有较大的功率输出,通信距离越远,要求输出功率越大。为了获得足够大的高频输出功率,必须采用高频功率放大器。高频功率放大器是无线电发射设备的重要组成部分。在无线电信号发射过程中,发射机的振荡器产生的高频振荡信号功率很小,

集成电路版图设计报告

北京工业大学集成电路板图设计报告 姓名:张靖维 学号:12023224 2015年 6 月 1日

目录 目录 (1) 1 绪论 (2) 1.1 介绍 (2) 1.1.1 集成电路的发展现状 (2) 1.1.2 集成电路设计流程及数字集成电路设计流程 (2) 1.1.3 CAD发展现状 (3) 2 电路设计 (4) 2.1 运算放大器电路 (4) 2.1.1 工作原理 (4) 2.1.2 电路设计 (4) 2.2 D触发器电路 (12) 2.2.1 反相器 (12) 2.2.2 传输门 (12) 2.2.3 与非门 (13) 2.2.4 D触发器 (14) 3 版图设计 (15) 3.1 运算放大器 (15) 3.1.1 运算放大器版图设计 (15) 3.2 D触发器 (16) 3.2.1 反相器 (16) 3.2.2 传输门 (17) 3.2.3 与非门 (17) 3.2.4 D触发器 (18) 4 总结与体会 (19)

1 绪论 随着晶体管的出现,集成电路随之产生,并极大地降低了电路的尺寸和成本。而由于追求集成度的提高,渐渐设计者不得不利用CAD工具设计集成电路的版图,这样大大提高了工作效率。在此单元中,我将介绍集成电路及CAD发展现状,本次课设所用EDA工具的简介以及集成电路设计流程等相关内容。 1.1介绍 1.1.1集成电路的发展现状 2014年,在国家一系列政策密集出台的环境下,在国内市场强劲需求的推动下,我国集成电路产业整体保持平稳较快增长,开始迎来发展的加速期。随着产业投入加大、技术突破与规模积累,在可以预见的未来,集成电路产业将成为支撑自主可控信息产业的核心力量,成为推动两化深度融合的重要基础。、 1.1.2集成电路设计流程及数字集成电路设计流程 集成电路设计的流程一般先要进行软硬件划分,将设计基本分为两部分:芯片硬件设计和软件协同设计。芯片硬件设计包括:功能设计阶段,设计描述和行为级验证,逻辑综合,门级验证(Gate-Level Netlist Verification),布局和布线。模拟集成电路设计的一般过程:电路设计,依据电路功能完成电路的设计;.前仿真,电路功能的仿真,包括功耗,电流,电压,温度,压摆幅,输入输出特性等参数的仿真;版图设计(Layout),依据所设计的电路画版图;后仿真,对所画的版图进行仿真,并与前仿真比较,若达不到要求需修改或重新设

3.2模拟集成电路设计-差分放大器版图

集成电路设计实习Integrated Circuits Design Labs I t t d Ci it D i L b 单元实验三(第二次课) 模拟电路单元实验-差分放大器版图设计 2007-2008 Institute of Microelectronics Peking University

实验内容、实验目的、时间安排 z实验内容: z完成差分放大器的版图 z完成验证:DRC、LVS、后仿真 z目的: z掌握模拟集成电路单元模块的版图设计方法 z时间安排: z一次课完成差分放大器的版图与验证 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page1

实验步骤 1.完成上节课设计放大器对应的版图 对版图进行、检查 2.DRC LVS 3.创建后仿真电路 44.后仿真(进度慢的同学可只选做部分分析) z DC分析:直流功耗等 z AC分析:增益、GBW、PM z Tran分析:建立时间、瞬态功耗等 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page2

Display Option z Layout->Options ->Display z请按左图操作 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page3

由Schematic创建Layout z Schematic->Tools->Design Synthesis->Layout XL->弹出窗口 ->Create New->OK >选择Create New>OK z Virtuoso XL->Design->Gen From Source->弹出窗口 z选择所有Pin z设置Pin的Layer z Update Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page4

模拟集成电路版图设计和绘制

电子科技大学 实验报告 学生姓名:连亚涛/王俊颖学号:2011031010032/0007指导教师:王向展实验地点:微固楼606实验时间:2014.6. 一、实验室名称:微电子技术实验室 二、实验项目名称:模拟集成电路版图设计和绘制 三、实验学时:4 四、实验原理 参照实验指导书。 五、实验目的 本实验是基于微电子技术应用背景和《集成电路原理》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路版图设计, 掌握基本的IC版图布局布线技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行版图的的设计。 六、实验内容 1、UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。 2、根据设计指标要求,自主完成版图设计,并掌握布局布线的基本技巧。 七、实验仪器设备 (1)工作站或微机终端一台

八、实验步骤 1、根据实验指导书熟悉UNIX操作系统常用命令的使用,掌握CadenceEDA 仿真环境的调用。 2、根据设计指标要求,设计出如下图所示的运算放大器电路版图,过程中应注意设计规则。 九、实验数据及结果分析: 1、通过本次实验掌握了UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。达到了实验目的。 2、根据设计指标要求,设计出运算放大器模拟集成电路版图。 (备注:小组共同完成) 十、实验结论: 通过这次实验,学习并掌握国际流行的EDA仿真软件Cadence的使用方法,完成了运算放大器集成电路版图的设计,其难点是版图的布局布线和设计规则的理解。 十一、总结及心得体会: 2学会了cadence在linux下的使用,在回去安装Ubuntu的过程中发生了很多错误,有了一定的提高,让我了解到使用免费破解的专业软件的不易。其次,cadence使用过程中,有很多技巧值得认真学习,如左手键盘右手鼠标操作,以及先画基本的接触孔,再画mos管,再用已有的Mos管拼接出其他宽长比的方法。同时,学会了如何提高画图效率的“偷懒”的办法。 当然,还有很多的不足,比如有些地方容易忽略版图的规则没有全局考量,造成重复赶工。在一些技巧上,如画不规则多边形保护环的方法还是太笨,没有用聪明的方法(多次shift+c)。

运算放大器的电路仿真设计

运算放大器的电路仿真设计 一、电路课程设计目的 错误!深入理解运算放大器电路模型,了解典型运算放大器的功能,并仿真实现它的功能; 错误!掌握理想运算放大器的特点及分析方法(主要运用节点电压法分析); ○3熟悉掌握Multisim软件。 二、实验原理说明 (1)运算放大器是一种体积很小的集成电路元件,它包括输入端和输出端。它的类型包括:反向比例放大器、加法器、积分器、微分器、电 压跟随器、电源变换器等. (2) (3)理想运放的特点:根据理想运放的特点,可以得到两条原则: (a)“虚断”:由于理想运放,故输入端口的电流约为零,可近似视为断路,称为“虚断”。 (b)“虚短”:由于理想运放A,,即两输入端间电压约为零,可近似视为短路,称为“虚短”. 已知下图,求输出电压。

理论分析: 由题意可得:(列节点方程) 011(1)822A U U +-= 0111 ()0422 B U U +-= A B U U = 解得: 三、 电路设计内容与步骤 如上图所示设计仿真电路. 仿真电路图:

V18mV R11Ω R22Ω R32Ω R44Ω U2 DC 10MOhm 0.016 V + - U3 OPAMP_3T_VIRTUAL U1 DC 10MOhm 0.011 V + - 根据电压表的读数,, 与理论结果相同. 但在试验中,要注意把电压调成毫伏级别,否则结果误差会很大, 致结果没有任何意义。如图所示,电压单位为伏时的仿真结 果:V18 V R11Ω R22Ω R32Ω R44Ω U2 DC 10MOhm 6.458 V + - U3 OPAMP_3T_VIRTUAL U1 DC 10MOhm 4.305 V + - ,与理论结果相差甚远。 四、 实验注意事项 1)注意仿真中的运算放大器一般是上正下负,而我们常见的运放是上负下正,在仿真过程中要注意。

华东师范大学CMOS差分放大器版图设计报告

Project report 课程名称:VLSI版图设计 作业内容:差分放大器版图设计 任课教师:田应洪 学生姓名:刘毓达 学校院系:华东师范大学电子工程系所在班级:集成电路工程

一、设计目标 本次版图设计我做的是CMOS差分放大器的设计。CMOS差分放大器是模拟电路中最基本也是最重要的电路单元之一,掌握其版图对更进一步加深对电路的理解极为重要,更为关键的是,良好的版图能力是一个合格的模拟电路设计者所必须具备的素质。本次所画差分放大器的原理图如下: 二、设计要求

设计规则是设计人员与工艺人员之间的接口与“协议”,是版图设计必须无条件的服从的准则,可以极大地避免由于短路、断路造成的电路失效和容差以及寄生效应引起的性能劣化。设计规则主要包括几何规则、电学规则以及走线规则。 1.工艺 本次版图设计使用无锡上华CSMC 0.6um的工艺库。 2.DRC 在版图完成后必须要通过DRC规则检查。只有通过DRC的版图才初步具备实际的生产价值。DRC文件为工艺库中自带。以下为部分规则示意:

3.I/O端口 两个输入端口,两个输出端口,VDD及VSS接口。如原理图所示。 4.尺寸 差分放大器共使用了5个MOS管。两个PMOS,三个NMOS管。其

中P管尺寸为W/L=80/1,N管尺寸为W/L=64/1。均使用叉指结构。 P管分成8个W/L为10:1的管。 N管分成16个W/L为4:1的管。 PAD尺寸为: poly层:120*120um metal1和metal2层:110*110um nwell层:100*100um pad层:96*96um via层:88*88um 三、版图设计 首先考虑五个管子的布局。从上面所给的管子尺寸可以看到,每一个晶体管都是又细又长的一条。对于实际生产显然不合适,所以经过考虑将每个晶体管做成叉指结构,这样使版图密集紧凑,并且能很好的工作。对于总体布局,应充分考虑外部pad的连接,避免外部引线过长及交叉。

运算放大器电路及版图设计报告

目录 摘要 (2) 第一章引言 (3) 第二章基础知识介绍 (4) 2.1 集成电路简介 (4) 2.2 CMOS运算放大器 (4) 2.2.1理想运放的模型 (4) 2.2.2非理想运算放大器 (5) 2.2.3运放的性能指标 (5) 2.3 CMOS运算放大器的常见结构 (6) 2.3.1单级运算放大器 (6) 2.3.2简单差分放大器 (6) 2.3.3折叠式共源共栅(Folded-cascode)放大器 (7) 2.4版图的相关知识 (8) 2.4.1版图介绍 (8) 2.4.2硅栅CMOS工艺版图和工艺的关系 (8) 2.4.3 Tanner介绍 (9) 第三章电路设计 (10) 3.1总体方案 (10) 3.2各级电路设计 (10) 3.2.1第三级电路设计 (10) 3.2.2第二级电路设计 (11) 3.2.3第一级电路设计 (12) 3.2.4三级运放整体电路图及仿真结果分析 (14) 第四章版图设计 (15) 4.1版图设计的流程 (15) 4.1.1参照所设计的电路图的宽长比,画出各MOS管 (15) 4.1.2 布局 (17) 4.1.3画保护环 (17) 4.1.4画电容 (17) 4.1.5画压焊点 (18) 4.2 整个版图 (19) 第五章 T-Spice仿真 (21) 5.1提取T-Spice文件 (21) 5.2用T-Spice仿真 (24) 5.3仿真结果分析 (26) 第六章总结 (27) 参考文献 (28)

摘要 本次专业综合课程设计的主要内容是设计一个CMOS三级运算跨导放大器,该放大器可根据不同的使用要求,通过开关的开和闭,选择单级、两级、三级组成放大器,以获得不同的增益和带宽。用ORCAD画电路图,设计、计算宽长比,仿真,达到要求的技术指标,逐级进行设计仿真。然后用L-Edit软件根据设计的宽长比画版图,最后通过T-Spice仿真,得到达到性能指标的仿真结果。 设计的主要结果归纳如下: (1)运算放大器的基本工作原理 (2)电路分析 (3)设计宽长比 (4)画版图 (5)仿真 (6)结果分析 关键词:CMOS运算跨导放大器;差分运放;宽长比;版图设计;T-Spice仿真

集成电路版图设计论文

集成电路版图设计 班级12级微电子姓名陈仁浩学号2012221105240013 摘要:介绍了集成电路版图设计的各个环节及设计过程中需注意的问题,然后将IC版图设计与PCB版图设计进行对比,分析两者的差异。最后介绍了集成电路版图设计师这一职业,加深对该行业的认识。 关键词: 集成电路版图设计 引言: 集成电路版图设计是实现集成电路制造所必不可少的设计环节,它不仅关系到集成电路的功能是否正确,而且也会极大程度地影响集成电路的性能、成本与功耗。近年来迅速发展的计算机、通信、嵌入式或便携式设备中集成电路的高性能低功耗运行都离不开集成电路掩模版图的精心设计。一个优秀的掩模版图设计者对于开发超性能的集成电路是极其关键的。 一、集成电路版图设计的过程 集成电路设计的流程:系统设计、逻辑设计、电路设计(包括:布局布线验证)、版图设计版图后仿真(加上寄生负载后检查设计是否能够正常工作)。集成电路版图设计是集成电路从电路拓扑到电路芯片的一个重要的设计过程,它需要设计者具有电路及电子元件的工作原理与工艺制造方面的基础知识,还需要设计者熟练运用绘图软件对电路进行合理的布局规划,设计出最大程度体现高性能、低功耗、低成本、能实际可靠工作的芯片版图。集成电路版图设计包括数字电路、模拟电路、标准单元、高频电路、双极型和射频集成电路等的版图设计。具体的过程为: 1、画版图之前,应与IC 工程师建立良好沟通在画版图之前,应该向电路设计者了解PAD 摆放的顺序及位置,了解版图的最终面积是多少。在电路当中,哪些功能块之间要放在比较近的位置。哪些器件需要良好的匹配。了解该芯片的电源线和地线一共有几组,每组之间各自是如何分布在版图上的? IC 工程师要求的工作进度与自己预估的进度有哪些出入? 2、全局设计:这个布局图应该和功能框图或电路图大体一致,然后根据模块的面积大小进行调整。布局设计的另一个重要的任务是焊盘的布局。焊盘的安排要便于内部信号的连接,要尽量节省芯片面积以减少制作成本。焊盘的布局还应该便于测试,特别是晶上测试。 3、分层设计:按照电路功能划分整个电路,对每个功能块进行再划分,每一个模块对应一个单元。从最小模块开始到完成整个电路的版图设计,设计者需要建立多个单元。这一步就是自上向下的设计。 4、版图的检查: (1)Design Rules Checker 运行DRC,DRC 有识别能力,能够进行复杂的识别工作,在生成最终送交的图形之前进行检查。程序就按照规则检查文件运行,发现错误时,会在错误的地方做出标记,并且做出解释。

心电放大器的设计与仿真

电子线路CAD短学期 设计报告 学院:电子信息学院 学号: 15041523 班级: 15040211 姓名:卢虎林 日期: 2017年3月11日

一、实验目的 通过一个实例来说明Pspice对设计方案和具体电路进行分析的过程,理解电路的自上而下的设计方法。 二、实验原理 设计一个心电图信号放大器。已知: (1)心电信号幅度在50μV~5mV之间,频率范围为0.032Hz~250Hz。 (2)人体内阻、检测电极板与皮肤的接触电阻(即信号源内阻)为几十千欧。 (3)放大器的输出电压最大值为-5V~+5V。 1、确定总体设计目标 由已知条件(1)可知该放大器的输入信号属于微弱信号,所要求的放大器应具有较高的电压增益和低噪声、低漂移特性。由已知条件(2)可知,为了减轻微弱心电信号源的负载,放大器必须有很高的输入阻抗。另外,为了减小人体接收的空间电磁场的各种信号(即共模信号),要求放大器应具有较高的共模抑制比。因此,最后决定的心电放大器的性能指标如下: 差模电压增益:1000(5V/5mV); 差模输入阻抗: >10MΩ; 共模抑制比:80dB; 通频带:0.05Hz~250Hz。 2、方案设计 根据性能指标要求,要采用多级放大电路,其中前置放大器的设计决定了输入阻抗,共模抑制比和噪声,可选用BiFET型运放,本设计采用了LF4111型运放(其中Avo=4 10 ,Rid≈4 10 Ω,Avc=2),由

于单极同相放大器的共模抑制比无法达到设计要求(可通过Pspice 仿真波形看出),本设计采用了由三个LF411型运放构成的仪用放大器。 第二级放大器的任务是进一步提高放大电路的电压增益,使总增益达到1000。其次为了消除高、低噪声,需要设计一个带通滤波器。因为滤波器没有特殊要求,本设计可采用较简单的一阶高通滤波器和一阶低通滤波器构成的带通滤波器。 3、详细设计 根据上述设计方案,确定了心电放大电路的原理图,如图5-1所示。A1、A2、A3及相应的电阻构成前置放大器,其差模增益被分配为40,其中A1、A2构成的差放被分配为16,其计算公式为:Avd1=(Vo1-Vo2)/Vi=(R1+R2+R3)/R1,Avd2=Vo3/(Vo1-Vo2)=- R6/R4=1.6。 为了避免输入端开路时放大器出现饱和状态,在两个输入端到地之间分别串接两个电阻R11、R22,其取值很大,以满足差模输入阻抗的要求。第二级由 A4及相应的电阻、电容构成。在通带内,其被分配的差模增益应为(1000/40=25),即 Avd3=vo/vo3=1+R10/R9=25 取R9=1KΩ,R10=24KΩ。C1、R8 构成高通滤波器,要求 f =0.05Hz。取R8=1MΩ,则可算出C1=4.58μF,取标称值电容 C1=4.7μF,算得fL=1/(2л C1 R8)=0.034Hz。C2,R10构成低通滤波器,要求f =200Hz。取R10=24KΩ,可算出C2=0.03316μF,取标称值电容C2=0.033μF,最后算出f =1/(2л C2 R10)=251.95Hz。可见满足带宽要求。

福州大学集成电路版图设计实验报告

福州大学物信学院 《集成电路版图设计》 实验报告 姓名:席高照 学号:111000833 系别:物理与信息工程 专业:微电子学 年级:2010 指导老师:江浩

一、实验目的 1.掌握版图设计的基本理论。 2.掌握版图设计的常用技巧。 3.掌握定制集成电路的设计方法和流程。 4.熟悉Cadence Virtuoso Layout Edit软件的应用 5.学会用Cadence软件设计版图、版图的验证以及后仿真 6.熟悉Cadence软件和版图设计流程,减少版图设计过程中出现的错误。 二、实验要求 1.根据所提供的反相器电路和CMOS放大器的电路依据版图设计的规则绘制电路的版图,同时注意CMOS查分放大器电路的对称性以及电流密度(通过该电路的电流可能会达到5mA) 2.所设计的版图要通过DRC、LVS检测 三、有关于版图设计的基础知识 首先,设计版图的基础便是电路的基本原理,以及电路的工作特性,硅加工工艺的基础、以及通用版图的设计流程,之后要根据不同的工艺对应不同的设计规则,一般来说通用的版图设计流程为①制定版图规划记住要制定可能会被遗忘的特殊要求清单②设计实现考虑特殊要求及如何布线创建组元并对其进行布局③版图验证执行基于计算机的检查和目视检查,进行校正工作④最终步骤工程核查以及版图核查版图参数提取与后仿真 完成这些之后需要特别注意的是寄生参数噪声以及布局等的影响,具体是电路而定,在下面的实验步骤中会体现到这一点。 四、实验步骤 I.反相器部分: 反相器原理图:

反相器的基本原理:CMOS反相器由PMOS和NMOS构成,当输入高电平时,NMOS导通,输出低电平,当输入低电平时,PMOS导通,输出高电平。 注意事项: (1)画成插齿形状,增大了宽长比,可以提高电路速度 (2)尽可能使版图面积最小。面积越小,速度越高,功耗越小。 (3)尽可能减少寄生电容和寄生电阻。尽可能增加接触孔的数目可以减小接触电阻。(4)尽可能减少串扰,电荷分享。做好信号隔离。 反相器的版图: 原理图电路设计: 整体版图:

集成电路版图设计调查报告

关于IC集成电路版图设计的调查报告 IC版图设计是指将前端设计产生的门级网表通过EDA设计工具进行布局布线和进行物理验证并最终产生供制造用的GDSII数据的过程,简单来说,是将所设计的电路转化为图形描述格式,即设计工艺中所需要的各种掩模板,而掩模板上的几何图形包括如下几层:n阱、有源区、多晶硅、n+和p+注入、接触孔以及金属层。 一. 版图设计流程 集成电路从60年代开始,经历了小规模集成,中规模集成,大规模集成,到目前的超大规模集成。单个芯片上已经可以制作含几百万个晶体管的一个完整的数字系统或数模混合的电子系统。在整个设计过程中,版图(layout)设计或者称作物理设计(physical design)是其中重要的一环。他是把每个原件的电路表示转换成集合表示,同时,元件间连接的线网也被转换成几何连线图形。概括说来,对于复杂的版图设计,一般分成若干个子步骤进行: 1.模块划分。为了将处理问题的规模缩小,通常把整个电路划分成若干个模块。版图规划和布局是为了每个模块和整个芯片选择一个好的布图方案。 2.布局布线。布局图应该和功能框图或者电路图大体一致,然后根据各个模块的面积大小进行调整,接着完成模块间的互连,并进一步优化布线结果。 3.版图压缩。压缩是布线完成后的优化处理过程,试图进一步减小芯片的占用面积。 4.版图检查。版图检查主要包括三个部分:1. Design Rules Checker(DR C)。DRC有识别能力,能够进行复杂的识别工作,在生成最终送交的图形之前进行检查,程序就会按照规则检查文件运行,发现错误时,会在错误的地方做出标记与解释。2. Electrical Rules Checker(ERC),它是用来检查线路短路,线路开路以及floating结点。ERC检查短路错误后,会将错误提示局限在最短的连接通路上。3. Layout Versus Schematic(LVS),LVS比较IC版图和原理图,报告版图连接和原理图的不一致,并进行修改直到版图与电路图完全一致为止。 5.版图修改。此时的工作主要包括检查Label是否正确,label所选的lay er是否正确;Power & Ground连接是否有问题,得到的files是否确实可靠,检查netlist中器件类型的命名是否规范等。

高输入阻抗放大电路的设计仿真与实现

课程设计任务书 学生姓名:专业班级:电信1101班 指导教师:工作单位:信息工程学院 题目: 高输入阻抗放大电路的设计仿真与实现 初始条件: 可选元件:运算放大器,三极管,电阻、电位器、电容、二极管若干,直流电源Vcc= +12V,V EE= -12V,或自选元器件。 可用仪器:示波器,万用表,直流稳压源,毫伏表等。 要求完成的主要任务: (1)设计任务 根据要求,完成对高输入阻抗放大电路的设计、装配与调试,鼓励自制稳压电源。(2)设计要求 ①电压增益>=100,输入信号频率<100HZ,共模抑制比≥60dB; ② 选择电路方案,完成对确定方案电路的设计; ③ 利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电 路工作原理并仿真实现系统功能; ④ 安装调试并按规范要求格式完成课程设计报告书; ⑤ 选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1.电路方案选择 (4) 2.高输入阻抗放大电路设计 (5) 2.1差分放大电路 (5) 2.1.1零点漂移 (5) 2.1.2差模信号与共模信号 (5) 2.1.3.共模抑制比 (6) 2.1.4差分放大电路的分析 (6) 2.2镜像恒流源 (7) 2.2.1镜像电流源电路特点 (8) 2.2.2镜像电流源电路分析 (8) 2.3同向比例放大电路 (8) 2.4电压串联负反馈 (9) 2.5电路原理设计图 (10) 3.直流稳压电源的设计 (10) 3.1理论分析 (10) 3.2原理图 (11) 3.3直流稳压电源仿真结果 (11) 4高输入阻抗放大电路仿真 (12) 5实物安装和调试 (17) 5.1布局焊接 (17) 5.2调试方法 (17) 5.3测试结果分析 (17) 5.4实物展示 (18) 6. PCB制作 (19) 7.个人总结 (23) 参考文献 (24)

版图对电路的影响—差分放大器

版图对电路的影响—差分放大器(一) 标准小信号模型 将Rss视为电流源,输出电阻无穷大,平衡状态下的小信号差动增益|Av|=gmRd,单边输出增益减半。尾流源让共模电平对偏置电流的影响尽可能的小。理想差分放大器共模增益为零,共模抑制比无穷大。 一、共模输入变化引起输出的变化 电路对称 Rd1=Rd2=Rd Vin1=Vin2 gm1=gm2=gm, Vgs1=Vgs2=Vgs Vin1=Vin2=Vin=Vgs+2gmVgsRss

Vx=Vy=Vout=-gmVgsRd Avc=Vout/Vin=(-gm)Rd/(1+2gmRss) 仅负载失配 Rd1≠Rd2 Vin1=Vin2=Vin Vgs1=Vgs2=Vgs beta1=beat2=beta gm=beta*(Vgs-Vth) gm1=gm2=gm Vin=Vgs+2gmVgsRss Vx=-gmVgsRd1 Vy=-gmVgsRd2 Vx-Vy=-gmVgs(Rd1-Rd2) Avc=(Vx-Vy)/Vin=(-gm)(Rd1-Rd2)/(1+2gmRss) 仅晶体管失配 beta1≠beta2 gm1≠gm2 Vgs1=Vgs2=Vgs Rd1=Rd2=Rd Vin1=Vin2=Vin Vin=Vgs+(gm1+gm2)VgsRss Vx=-gm1VgsRd Vy=-gm2VgsRd Vx-Vy=-VgsRd(gm1-gm2) Avc=Vx-Vy/Vin=-Rd(gm1-gm2)/[1+(gm1+gm2)Rss]

摘录自(1): 1、共模扰动频率的增加与尾流源并联的电容会使电流产生很大的变化(即使 尾流源输出阻抗很大,在高频时也会变得很严重) 2、电路不对称既来自负载电阻,也来自输入晶体管。通常后者产生的失配要 大得多。 由i=q/t, q=cv, f=1/t得到i=cvf,所以尾流部分的寄生电容与输入频率会影响到尾流源,进而影响到整个差动电路的性能。 C=Eox * Area / Tox,很容易看出面积越大电容也越大。以W/L = 100 / 1的晶体管为例,画成finger =1时,diff_area_f1=100 * (1.5*2 + 1) = 400, all _area_f1=400 + (0.5*4*2) = 404;当finger =2 时,diff_area_f2 = 50 * (1.5*3 +1*2) = 325, all_area_f2=325 + (0.5*6.5*2) = 331.5。每次减小重合部分的面积。设MOS宽度为W,重合部分宽度为ds,channel长度为g,gate出diff为cap,finger 数目为n,有(W/n+2*cap)(n*ds+ds+n*g)>=(W+2*cap)(2*ds+g),得出当n>=W*ds/[2*cap*(g+ds)]时,finger=n的整体面积大于finger=1的面积。 如果ds=x * cap, g=y *cap则 n = [W/(2*cap)] *[x / (x+y)],finger=n 如果y=z*x,其中z=g/d,则 n=W/[2*(1+z)*cap],将(1+z)*cap作为一个整体k,则

集成电路版图技巧总结

集成电路版图技巧总结 1、对敏感线的处理对敏感线来说,至少要做到的是在它的走线过程中尽量没有其他走线和它交叉。因为走线上的信号必然会带来噪声,交错纠缠的走线会影响敏感线的信号。 对于要求比较高的敏感线,则需要做屏蔽。具体的方法是,在它的上下左右都连金属线,这些线接地。比如我用M3做敏感线,则上下用M2和M4重叠一层,左右用M3走,这些线均接地。等于把它像电缆一样包起来。 2、匹配问题的解决电路中如果需要匹配,则要考虑对称性问题。比如1:8的匹配,则可以做成33的矩阵,“1”的放在正中间,“8”的放在四周。这样就是中心对称。如果是2:5的匹配,则可以安排成AABABAA的矩阵。 需要匹配和对称的电路器件,摆放方向必须一致。周围环境尽量一致。 3、噪声问题的处理噪声问题处理的最常用方法是在器件周围加保护环。N mos管子做在衬底上因此周围的guardring是Pdiff,在版图上是一层PPLUS,上面加一层DIFF,用CONTACT连M1。Pdiff接低电位。Pmos管子做在NWELL里面因此周围的GUARDING是Ndiff,在版图上先一层NPLUS,上面加一层DIFF,用CONTACT连M1。Ndiff接高电位。在一个模块周围为了和其他模块隔离加的保护环,用一圈NWELL,里面加NDIFF,接高电位。

电阻看类型而定,做在P衬底上的周围接PDIFF型guarding接地;做在NWELL里面的则周围接NDIFF型guarding接高电位。各种器件,包括管子,电容,电感,电阻都要接体电位。如果不是RF型的MOS管,则一般尽量一排N管一排P管排列,每排或者一堆靠近的同类型管子做一圈GUARDING,在P管和N管之间有走线不方便打孔的可以空出来不打。 4、版图对称性当电路需要对称的时候,需要从走线复杂度,面积等方面综合考虑。常见的对称实现方式: 一般的,画好一半,折到另一半去,复制实现两边的对称。 如果对称性要求高的,可以用质心对称的方式,把管子拆分成两个,四个甚至更多。 如把一个管子拆成两个可以AB BA的方式如果有四个管子,可以各拆成三个,用ABCDABCDABCD的方式五、布局布线布局布线是一个全局问题。在画较大的电路时候是很重要的。首先确定各模块的位置,在确定位置的时候需要考虑的问题主要有:各输入输出之间的连线最短,最方便;各模块接出去连PAD的各端口方便;高频线距离尽量短;输入输出之间相隔比较远等。这些问题需要在着手画各模块之前先有个安排。在画好各模块后摆放时会做调整,但大局不变。连线一般的规则是单数层金属和双数层金属垂直,比如一三五层连水平;二四六层连垂直。但这样的主要目的是各层能方便走线,排得密集。所以也不是死规则,在布线较稀疏的情况下可以做适量变通。在布线时最重要的问题

运算放大器的设计与仿真

集成运算放大器放大电路仿真设计 1集成运算放大器放大电路概述 集成电路是一种将“管”和“路”紧密结合的器件,它以半导体单晶硅为芯片,采用专门的制造工艺,把晶体管、场效应管、二极管、电阻和电容等元件及它们之间的连线所组成的完整电路制作在一起,使之具有特定的功能。集成放大电路最初多用于各种模拟信号的运算(如比例、求和、求差、积分、微分……)上,故被称为运算放大电路,简称集成运放。集成运放广泛用于模拟信号的处理和产生电路之中,因其高性价能地价位,在大多数情况下,已经取代了分立元件放大电路。 2 电路原理分析 2.1 电路如图1所示 R1 10kΩV1 500mV U1A TL082CD 3 2 4 8 1 R2 9.1kΩ RF 100kΩ V2 12 V V3 12 V XMM1 1 此电路为反向比例运算电路,这是电压并联负反馈电路。输入电压V1通过电阻R1作用于集成运放的反相输入端,故输出电压V0与V1反相。 图2 仿真结果图 输入输出关系理论输仿真输出值电路功能

其中 1 //2R RF R = 2.2电路如图3所示 R1 10kΩ Ui2 200mV U1A TL082CD 3 2 4 8 1 R24.7kΩ RF 100kΩ V212 V V312 V XMM1 Ui1 100mV R310kΩ 3 此电路为反相求和运算电路,其电路的多个输入信号均作用于集成运放的反相输入端,根据“虚短”和“虚断”的原则,0==p N u u ,节点N 的电流方程为F i i i =+31 所以)1 2 31( 0R Ui R Ui RF U +-= 输入输出关系 理论输出值 仿真输出值 电路功能 )1 2 31( 0R Ui R Ui RF U +-= -3V 2.999V 反相求和放大电路 其中RF R R R //3//12= 2.3电路如图5所示 出值 11 0V R RF V -= -5V -5V 反相比例运算电路

共源级放大器的电路设计及版图实现

巢湖学院2014届本科毕业论文(设计) 共源级放大器的电路设计及版图实现 摘要 集成电路设计技术是现在信息时代的关键技术之一,当今的超大规模集成电路(VLSL)设计也已经离不开计算机辅助(CAD)。Tanner 就是CAD软件的一种,它是由Tanner Research公司开发的基于windows平台的用于集成电路设计的工具软件,该软件功能强大,从电路设计、分析模拟到电路布局都可实现。本设计就是基于tanner软件实现共源级放大器的电路图设计及版图绘制。共源极放大器是CMOS电路中的基本增益级。它是典型的反向放大器,负载可以是有源负载或者电流源。共源极放大器需要得到比有源负载放大器更大的增益。设计电流源负载共源极放大器对学习了解IC有着本质的帮助和提高,这是理论与实践的相结合。本论文主要设计三种情况的放大器电路,他们是电阻负载共源级放大器、二极管连接的负载的共源级放大器和电流源负载共源级放大器电路,通过这三种放大器的设计能让我们更好的使用共源级放大器。 关键词:共源级放大器;版图;Tanner Tools

共源级放大器的电路设计及版图实现 Circuit Design and Layout Implementation of the Dommon-source Amplifier Abstract IC design technology is now one of the key technologies of the information age, today's VLSI (VLSL) has been inseparable from computer-aided design (CAD). Tanner is a CAD software, which is based IC design software tools for windows platform, powerful functionality of the software developed by the Tanner Research, analog circuit design, analysis of the circuit layout can be realized. This design is the circuit diagram tanner common source software based amplifier design and layout drawing. Is a common-source amplifier circuit of the basic CMOS gain stage. It is a typical inverting amplifier, or the load may be an active load current source. Common-source amplifier needs to be greater than the active load amplifier gain. Design current source load common-source amplifier IC has to learn to understand the nature of the help and improve, which is a combination of theory and practice. This thesis amplifier circuit design three cases, they are a common source amplifier resistive load of source-level amplifier and a current source diode connected load of the load common source amplifier circuit, amplifier design by these three make us more Use good common source amplifier. Key word: CAD;TANNER;Common-source Amplifier

集成电路版图设计-反相器-传输门

集成电路版图设计 实验报告 学院:电气与控制工程学院班级: XXXXXXXXXX 学号:XXXXXXXX 姓名:XXXX 完成日期:2015年1月22日

一、实验要求 1、掌握Linux常用命令(cd、ls、pwd等)。 (1)cd命令。用于切换子目录。输入cd并在后面跟一个路径名,就可以直接进入到另一个子目录中;cd..返回根目录;cd返回主目录。(2)ls命令。用于列出当前子目录下所有内容清单。 (3)pwd命令。用于显示当前所在位置。 2、掌握集成电路设计流程。 模拟集成电路设计的一般过程: (1)电路设计。依据电路功能完成电路的设计。 (2)前仿真。电路功能的仿真,包括功耗,电流,电压,温度,压摆幅,输入输出特性等参数的仿真。 (3)版图设计(Layout)。依据所设计的电路画版图。一般使用Cadence软件。 (4)后仿真。对所画的版图进行仿真,并与前仿真比较,若达不到要求需修改或重新设计版图。 (5)后续处理。将版图文件生成GDSII文件交予Foundry流片。 3、掌握Cadence软件的使用 (1)使用Cadence SchematicEditor绘制原理图。 (2)由Schematic产生symbol。 (3)在测试电路中使用AnalogEnvironment工具进行功能测试。 (4)使用Cadence Layout Editor根据原理图绘制相应版图,以

0.6umCMOS设计规则为准。 (5)对所设计的版图进行DRC验证,查错并修改。 以PMOS为例,部分设计规则如下:(um) N-Well包含P+Active的宽度:1.8 MOS管沟道最小宽度:0.75最小长度:0.6 Active区伸出栅极Ploy的最小延伸长度:0.5 Contact最小尺寸:0.6*0.6 Contact与Contact之间的最小间距:0.7 Active包最小尺寸Contact的最小宽度:0.4 非最小尺寸Contac t的最小宽度:0.6 Active上的Contact距栅极Poly1的最小距离:0.6 Metal1包最小尺寸的Contact:0.3 Metal1与Metal1之间的最小间距:0.8

集成电路版图设计报告

集成电路版图设计实验报告 班级:微电子1302班 学号:1306090226 姓名:李根 日期:2016年1月10日

一:实验目的: 熟悉IC设计软件Cadence Layout Editor的使用方法,掌握集成电路原理图设计,原理图仿真以及版图设计的流程方法以及技巧。 二:实验内容 1.Linux常用命令及其经典文本编辑器vi的使用 ①:了解Linux操作系统的特点。 ②:熟练操作如何登录、退出以及关机。 ③:学习Linux常用的软件以及目录命令。 ④:熟悉经典编辑器vi的基本常用操作。 2.CMOS反相器的设计和分析 ①:进行cmos反相器的原理图设计。 ②:进行cmos反相器的原理图仿真。 ③:进行cmos反相器的版图设计。 3.CMOS与非门的设计和分析 ①:进行cmos与非门的原理图设计。 ②:进行cmos与非门的原理图仿真。 ③:进行cmos与非门的版图设计 4.CMOS D触发器的设计和分析 ①:进行cmosD触发器的原理图设计。 ②:进行cmosD触发器的原理图仿真。 ③:进行cmosD触发器的版图设计。 5.对以上的学习进行总结 ①:总结收获学习到的东西。 ②:总结存在的不足之处。 ③:展望集成电路版图设计的未来。 三:实验步骤(CMOS反相器) 1.CMOS反相器原理图设计 内容:首先建立自己的Library,建立一个原理图的cell,其次进行原理图通过调用库里面的器件来绘制原理图,然后进行检错及修正,具体操作如下:在Terminal视窗下键入icfb,打开CIW; Tool→Library Manager; File→New→Library; 在name栏填上Library名称; 选择Compile a new techfile; 键入~/0.6um.tf; File→New→Cell view,在cell name键入inv,tool选择schematic,单击OK; 点击Schematic视窗上的指令集Add→Instance,出现Add Instance视窗; 通过Browse analogLib库将要用到的元件添加进来;

相关文档
相关文档 最新文档