文档库 最新最全的文档下载
当前位置:文档库 › 2005年正弦信号发生器

2005年正弦信号发生器

2005年正弦信号发生器
2005年正弦信号发生器

2005年全国大学生电子设计竞赛试题

参赛注意事项

(1)2005年9月7日8:00竞赛正式开始,每支参赛队限定在提供的A、B、C、D、E、F、

G题中任选一题;认真填写《登记表》各栏目内容,填写好的《登记表》由赛场巡视员暂时保存。

(2)参赛者必须是有正式学籍的全日制在校本、专科学生,应出示能够证明参赛者学生

身份的有效证件(如学生证)随时备查。

(3)每队严格限制3人,开赛后不得中途更换队员。

(4)竞赛期间,可使用各种图书资料和网络资源,但不得在学校指定竞赛场地外进行设

计制作,不得以任何方式与他人交流,包括教师在内的非参赛队员必须迴避,对违纪参赛队取

消评审资格。

(5)2005年9月10日20:00竞赛结束,上交设计报告、制作实物及《登记表》,由专人

正弦信号发生器(A题)

一、任务

设计制作一个正弦信号发生器。

二、要求

1、基本要求

(1)正弦波输出频率范围:1kHz~10MHz;

(2)具有频率设置功能,频率步进:100Hz;

(3)输出信号频率稳定度:优于10-4;

(4)输出电压幅度:在Ω

50负载电阻上的电压峰-峰值V opp≥1V;

(5)失真度:用示波器观察时无明显失真。

2、发挥部分

在完成基本要求任务的基础上,增加如下功能:

(1)增加输出电压幅度:在频率范围内Ω

50负载电阻上正弦信号输出电压的峰-峰值V opp=6V±1V;

(2)产生模拟幅度调制(AM)信号:在1MHz~10MHz范围内调制度m a可在10%~100%之间程控调节,步进量10%,正弦调制信号频率为1kHz,调制信号自

行产生;

(3)产生模拟频率调制(FM)信号:在100kHz~10MHz频率范围内产生10kHz最大频偏,且最大频偏可分为5kHz/10kHz二级程控调节,正弦调制信号频率为

1kHz,调制信号自行产生;

(4)产生二进制PSK、ASK信号:在100kHz固定频率载波进行二进制键控,二进制基带序列码速率固定为10kbps,二进制基带序列信号自行产生;

(5)其他。

三、评分标准

正弦信号发生器的设计

XXXX大学现代科技学院DSP硬件电路设计基础课程设计 设计名称正弦信号发生器的设计 专业班级 学号 姓名DENG 指导教师XXXX

课程设计任务书 注: 上交(大张图纸不必装订) 2.可根据实际内容需要续表,但应保持原格式不变。 日期:2014-12-10

专业班级 XXXXXXX 学号 姓名 DENG 成绩 设计题目 正弦波信号发生器 设计目的 学会使用CCS(Code Composer Studio)集成开发环境软件,在此集成开发环境下完成工程项目创建,程序编写,编译,链接,调试以及数据的分析。同时完成一个正弦波信号发生器的程序的编写,并在集成开发环境下进行模拟运行,观察结果。 设计内容 编写一个产生正弦波信号的程序,在CCS 软件下进行模拟运行,观察输出结果。 设计原理 正弦波信号发生器已被广泛地应用于通信、仪器仪表和工业控制等领域的信号处理系统中。通常有两种方法可以产生正弦波,分别为查表法和泰勒级数展开法。查表法是通过查表的方式来实现正弦波,主要用于对精度要求不很高的场合。泰勒级数展开法是根据泰勒展开式进行计算来实现正弦信号,它能精确地计算出一个角度的正弦和余弦值,且只需要较小的存储空间。本次课程设计只要使用泰勒级数展开法来实现正弦波信号。 1. 产生正弦波的算法 在高等数学中,正弦函数和余弦函数可以展开成泰勒级数,其表达式为 若要计算一个角度x 的正弦和余弦值,可取泰勒级数的前5项进行近似计算。 ……………………………………装………………………………………订…………………………………………线………………………………………

由上述两个式子可以推导出递推公式,即 sin(nx)=2cos(x)sin[(n-1)x]-sin[(n-2)x] cos(nx)=2cos(x)sin[(n-1)x]-cos[(n-2)x] 由递推公式可以看出,在计算正弦和余弦值时,不仅需要已知cos(x),而且还需要sin[(n-1)x]、sin[(n-2)x]和cos[(n-2)x]。 2. 正弦波的实现 ⑴计算一个角度的正弦值 利用泰勒级数的展开式,可计算一个角度x的正弦值,并采用子程序的调用方式。在调用前先在数据存储器d_xs单元中存放x的弧度值,计算结果存放在d_sinx单元中。 ⑵计算一个角度的余弦值 利用余弦函数展开的泰勒级数的前五项计算一个角度的余弦值,可采用子程序的调用方式来实现。调用前先将x弧度值放在数据存储器d_xc单元中,计算结果存放在d_cosx单元中。 ⑶正弦波的实现 利用计算一个角度的正弦值和余弦值程序可实现正弦波。其实现步骤如下:第一步:利用sin_start和cos_start 子程序,计算 45°~0°(间隔为 0.5°)的正弦和余弦值; 第二步:利用sin(2x)=2sin(x)cos(x)公式,计算 90°~0°的正弦值(间隔为1°);第三步:通过复制,获得359°~0°的正弦值; 第四步:将359°~0°的正弦值重复从PA口输出,便可得到正弦波。 在实际应用中,正弦波是通过D/A口输出的。选择每个正弦周期中的样点数、改变每个样点之间的延迟,就能够产生不同频率的波形,也可以利用软件改变波形的幅度以及起始相位。 总体方案设计 1. 总体实现方案 我们知道一个角度为x的正弦和余弦函数,都可以展开为泰勒级数,且其前五项可以看为:

方波-三角波-正弦波函数信号发生器

课程设计说明书 课程设计名称:电子课程设计 课程设计题目:设计制作一个产生方波-三角波-正弦波函数转换器学院名称:信息工程学院 专业:电子信息科学与技术班级: xxxxxxxx 学号: xxxxxxx 姓名: xxxxx 评分:教师: xxxxxx 20 13 年 10 月 15 日

电子课程设计 课程设计任务书 20 13 -20 14 学年 第 1 学期 第 1 周- 3 周 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

摘要 当今世界在以电子信息技术为前提下推动了社会跨越式的进步,科学技术的飞速发展日新月异带动了各国生产力的大规模提高。由此可见科技已成为各国竞争的核心,尤其是电子通信方面更显得尤为重要,在国民生产各部门都得到了广泛的应用,而各种仪器在科技的作用性也非常重要,如信号发生器、单片机、集成电路等。 信号发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和 教学实验等领域。常用超低频信号发生器的输出只有几种固定的波形,有方波、 三角波、正弦波、锯齿波等,不能更改信号发生器作为一种常见的应用电子仪器 设备,传统的可以完全由硬件电路搭接而成,如采用LM324振荡电路发生正弦波、 三角波和方波的电路便是可取的路径之一,不用依靠单片机。 本系统本课题将介绍由LM324集成电路组成的方波——三角波——正弦波 函数信号发生器的设计方法,了解多功能函数信号发生器的功能及特点,进一步 掌握波形参数的测试方法,制作这种低频的函数信号发生器成本较低,适合学生 学习电子技术测量使用。制作时只需要个别的外部元件就能产生正弦波、三角波、 方波等脉冲信号。输出波形的频率和占空比还可以由电流或电阻控制。 关键字:信号发生器、波形转换、LM324

正弦信号发生器2

正弦信号发生器[2005年电子大赛一等奖] 文章来源:凌阳科技教育推广中心 作者:华中科技大学(华中科技大学曹震陈国英孟芳宇)发布时间:2006-4-21 17:33:13 本系统基于直接数字频率合成技术;以凌阳SPCE061A单片机为控制核心;采用宽带运放AD811和AGC技术使得50Ω负载上峰值达到6V±1V;由模拟乘法器AD835产生调幅信号;由数控电位器程控调制度;通过单片机改变频率字实现调频信号,最大频偏可控;通过模拟开关产生ASK、PSK信号。系统的频率范围在100Hz~12MHz,稳定度优于10-5,最小步进为10Hz。 一、方案论证 根据题目要求和本系统的设计思想,系统主要包括图1.1所示的模块。 图1.1 系统模块框图

1、单片机选型 方案一:采用现在比较通用的51系列单片机。51系列单片机的发展已经有比较长的时间,应用比较广泛,各种技术都比较成熟,但此系列单片机是8位机,处理速度不是很快,资源不够充足,而且其最小系统的外围电路都要自己设计和制作,使用起来不是很方便,故不采用。 方案二:选用凌阳公司的SPCE061A单片机。SPCE061A单片机是16位的处理器,主频可以达到49MHz,速度很快,再加上其方便的ADC接口,非常适合对高频信号进行数字调频,如果对音频信号进行A/D采样,经过数字调频并发射,完全可以达到调频广播的效果。 结合题目的要求及SPCE061A单片机的特点,本系统选用凌阳公司的此款单片机。 2、频率合成模块 方案一:锁相环频率合成。如图1.2,锁相环主要由压控LC振荡器,环路滤波器,鉴相器,可编程分频器,晶振构成。且频率稳定度与晶振的稳定度相同,达10-5,集成度高,稳定性好;但是锁相环锁定频率较慢,且有稳态相位误差,故不采用。 图1.2 锁相环的基本原理 方案二: 直接数字频率合成。直接数字频率合成DDFS(Direct Digital Frequency Synthesizer)基于Nyquist定理,将模拟信号采集,量化后存入存储器中,通过寻址查表输出波形数据,再经D/A转

正弦信号发生器(参考2)

正弦信号发生器 作者:曾立丁运鸿陈亮 赛前辅导及文稿整理辅导教师:肖看 摘要 本系统以51单片机及FPGA为控制核心,由正弦信号发生模块、功率放大模块、调幅(AM)、调频(FM)模块、数字键控(ASK,PSK)模块以及测试信号发生模块组成。采用数控的方法控制DDS芯片AD9851产生5Hz-20MHz正弦信号,经滤波、放大和功放模块放大至6v并具有一定的驱动能力。测试信号发生模块产生的1kHz正弦信号经过调幅(AM)模块、调频(FM)模块,对高频载波进行调幅或调频。二进制基带序列信号送入数字键控模块,产生二进制PSK或ASK 信号,同时对ASK信号进行解调,恢复出原始数字序列。另外,本系统还配备有液晶显示屏、遥控键盘,提供了友好的人机交互界面。 ABSTRACT This system is in the core of Micro-Processor and FPGA (Field Programmable Gate Array), consist of sine signal generating module, Power amplifier, Amplitude Modulator, Frequency Modulator, ASK/PSK module and test signal generating module. The AD9851 controlled by Micro-Process in digital way to generate sine signal with the bandwidth 5Hz to 20MHz adjustable per 1Hz. After processing by LPF & power amplifier, the output signal has a peak value of move than 6V. The sine signal at 1 KHz was send to AM and FM module to modulate the high frequency carrier waveform. The binary sequential was send to the relative module to generate ASK and PSK signal. At last demodulate module demodulate the ASK signal and got the same binary sequential as set before. In order to provide a friendly user interface, the LCD and remote infrared control keyboard was introduced in this system.

EDA课程设计-正弦信号发生器的设计

《EDA技术》设计报告 设计题目正弦信号发生器的设计 院系:信息工程学院 专业:通信工程____ 学号: 姓名:__________

一.设计任务及要求 1.设计任务: 利用实验箱上的D/A 转换器和示波器设计正弦波发生器,可以在示波器上观察到正弦波 2.设计要求: (1) 用VHDL 编写正弦波扫描驱动电路 (2)设计可以产生正弦波信号的电路 (3)连接实验箱上的D/A 转换器和示波器,观察正弦波波形 二.设计方案 (1)设计能存储数据的ROM 模块,将正弦波的正弦信号数据存储在在ROM 中,通过地址发生器读取,将正弦波信号输入八位D/A 转化器,在示波器上观察波形 (2)用VHDL 编写正弦波信号数据,将正弦波信号输入八位D/A 转化器,在示波器上观察波形 三.设计框图 图 1 设计框图 信号发生器主要由以下几个部分构成:计数器用于对数据进行采样,ROM 用于存储待采样的波形幅度数值,TLV5620用于将采集的到正弦波数字量变为模拟量,最后通过示波器进行测量获得的波形。其中,ROM 设置为7根地址线,8个数据位,8位并行输出。TLV5260为串行输入的D/A 转换芯片,因此要把ROM 中并行输出的数据进行并转串。 四.实现步骤 1.定制ROM 计 数 器 7根地址线 8 位 R O M 并转串输出 CLK TLV5620D/A 转换 RST

ROM的数据位选择为8位,数据数选择128个。利用megawizard plug-in manager定制正弦信号数据ROM宏功能块,并将上面的波形数据加载于此ROM中。如图3所示。 图2 ROM存储的数据 图3 调入ROM初始化数据文件并选择在系统读写功能 2.设计顶层

正弦波函数信号发生器

电子技术课程设计报告 电子技术课程设计报告——正弦波函数信号发生器的设计 作品40% 报告 20% 答辩 20% 平时 20% 总分 100% 设计题目:班级:班级学号:学生姓名:

目录 一、预备知识 (1) 二、课程设计题目:正弦波函数信号发生器 (2) 三、课程设计目的及基本要求 (2) 四、设计内容提要及说明 (3) 4.1设计内容 (3) 4.2设计说明 (3) 五、原理图及原理 (8) 5.1功能模块电路原理图 (9) 5.2模块工作原理说明 (10) 六、课程设计中涉及的实验仪器和工具 (12) 七、课程设计心得体会 (12) 八、参考文献 (12)

一、预备知识 函数发生器是一种在科研和生产中经常用到的基本波形生产期,现在多功能的信号发生器已经被制作成专用的集成电路,在国内生产的8038单片函数波形发生器,可以产生高精度的正弦波、方波、矩形波、锯齿波等多种信号波,这中产品和国外的lcl8038功能相同。产品的各种信号频率可以通过调节外接电阻和电容的参数进行调节,快速而准确地实现函数信号发生器提供了极大的方便。发生器是可用于测试或检修各种电子仪器设备中的低频放大器的频率特性、增益、通频带,也可用作高频信号发生器的外调制信号源。顾名思义肯定可以产生函数信号源,如一定频率的正弦波,有的可以电压输出也有的可以功率输出。下面我们用简单的例子,来说明函数信号发生器原理。 (a) 信号发生器系统主要由下面几个部分组成:主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器(输出变压器)和指示电压表。 (b) 工作模式:当输入端输入小信号正弦波时,该信号分两路传输,其一路径回路,完成整流倍压功能,提供工作电源;另一路径电容耦合,进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出。输出端为可调电阻。 (c) 工作流程:首先主振级产生低频正弦振荡信号,信号则需要经过电压放大器放大,放大的倍数必须达到电压输出幅度的要求,最后通过输出衰减器来直接输出信号器实际可以输出的电压,输出电压的大小则可以用主振输出调节电位器来进行具体的调节。 它一般由一片单片机进行管理,主要是为了实现下面的几种功能: (a) 控制函数发生器产生的频率; (b) 控制输出信号的波形; (c) 测量输出的频率或测量外部输入的频率并显示; (d) 测量输出信号的幅度并显示; (e) 控制输出单次脉冲。 查找其他资料知:在正弦波发生器中比较器与积分器组成正反馈闭环电路,方波、三角波同时输出。电位器与要事先调整到设定值,否则电路可能会不起振。只要接线正确,接通电源后便可输出方波、三角波。微调Rp1,使三角波的输出幅度满足设计要求,调节Rp2,则输出频率在对应波段内连续可变。 调整电位器及电阻,可以使传输特性曲线对称。调节电位器使三角波的输出幅度经R输出等于U值,这时输出波形应接近正弦波,调节电位器的大小可改善波形。 因为运放输出级由PNP型与NPN型两种晶体管组成复合互补对称电路,输

正弦波信号发生器设计(课设)

课程设计I(论文)说明书 (正弦波信号发生器设计) 2010年1月19日

摘要 正弦波是通过信号发生器,产生正弦信号得到的波形,方波是通过对原信号进行整形得到的波形。 本文主要介绍了基于op07和555芯片的正弦波-方波函数发生器。以op07和555定时器构成正弦波和方波的发生系统。Op07放大器可以用于设计正弦信号,而正弦波可以通过555定时器构成的斯密特触发器整形后产生方波信号。正弦波方波可以通过示波器检验所产生的信号。测量其波形的幅度和频率观察是否达到要求,观察波形是否失真。 关键词:正弦波方波 op07 555定时器

目录 引言 (2) 1 发生器系统设计 (2) 1.1系统设计目标 (2) 1.2 总体设计 (2) 1.3具体参数设计 (4) 2 发生器系统的仿真论证 (4) 3 系统硬件的制作 (4) 4 系统调试 (5) 5 结论 (5) 参考文献 (6) 附录 (7) 1

引言 正弦波和方波是在教学中经常遇到的两种波形。本文简单介绍正弦波和方波产生的一种方式。在这种方式中具体包含信号发生器的设计、系统的论证、硬件的制作,发生器系统的调制。 1、发生器系统的设计 1.1发生器系统的设计目标 设计正弦波和方波发生器,性能指标要求如下: 1)频率范围100Hz-1KHz ; 2)输出电压p p V ->1V ; 3)波形特性:非线性失真~γ<5%。 1.2总体设计 (1)正弦波设计:正弦波振荡电路由基本放大电路、反馈网络、选频网 络组成。

2 图1.1 正弦波振荡电路产生的条件是要满足振幅平衡和相位平衡,即AF=1; φa+φb=±2nπ;A=X。/Xid; F=Xf/X。;正弦波振荡电路必须有基本放大电路, 本设计以op07芯片作为其基本放大电路。 基本放大电路的输出和基本放大电路的负极连接电阻作为反馈网络。反馈网络中 两个反向二极管起到稳压的作用。振荡电路的振荡频率f0是由相位平衡条件决 定的。一个振荡电路只在一个频率下满足相位平衡条件,这要求AF环路中包含 一个具有选频特性的选频网络。f0=1/2πRC。要实现频率可调,在电容C不变的 情况下电阻R可调就可以实现频率f0的变化。 (2)方波设计:方波可以把正弦波通过斯密特触发器整形后产生。基于555定时器接成的斯密特触发器。 设斯密特触发器输出波形为V1,V2且V1>V2。 输入正弦波v1从0逐渐升高的过程:v1<1/3Vcc时,输出v0=V1; 当1/3Vcc2/3Vcc时,v0=V2; 输入正弦波v1从高于2/3Vcc开始下降的过程:当1/3Vcc

方波——三角波——正弦波函数信号发生器

1函数发生器的总方案及原理框图(1) 1.1电路设计原理框图(1) 1.2 电路设计方案设计(1) 2 设计的目的及任务(2) 2.1 课程设计的目的(2) 2.2课程设计的任务与要求(2) 2.3课程设计的技术指标(2) 3 各部分电路设计(3) 3.1方波发生电路的工作原理(3) 3.2方波---三角波转换电路的工作原理(3) 3.3三角波---正弦波转换电路的工作原理,,,,,,,(6) 3.4电路的参数选择及计算(8) 3.5 总电路图(10) 4 电路仿真(11) 4.1方波---三角波发生电路的仿真(11) 4.2三角波---正弦波转换电路的仿真(12) 5 电路的安装与调试(13) 5.1方波---三角波发生电路的安装与调试(13) 5.2三角波---正弦波转换电路的安装与调试,,,,,,,(13) 5.3总电路的安装与调试(13) 5.4电路安装与调试中遇到的问题及分析解决方法,,,,(13) 6 电路的实验结果(14) 6.1方波---三角波发生电路的实验结果(14) 6.2三角波---正弦波转换电路的实验结果(14) 6.3实测电路波形、误差分析及改进方法(15) ,,,,,,,,,,,,,,,,,,,,,,,, (17)7 实验总结 8 仪器仪表明细清单(18) 9 参考文献(19)

1. 函数发生器总方案及原理框图 1.1原理框图 1.2函数发生器的总方案 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。根据用途不同,有产生三种或多种波形的函数发生器,使用的器件可以是分立器件(如低频信号函数发生器S101全部采用晶体管), 也可以采用集成电路(如单片函数发生器模块8038)。为进一步掌握电路的基本理论及实验调试技术,本课题采用由集成运算放大器与晶体管差分放大器共同组成的方波一三角波一正弦波函数发生器的设计方法。 产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整 形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波一方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题采用先产生方波一三角波,再将三角波变换成正弦波的电路设计方法, 本课题中函数发生器电路组成框图如下所示: 由比较器和积分器组成方波一三角波产生电路,比较器输出的方波经积分器 得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形 变换的原理是利用差分放大器传输特性曲线的非线性。

函数信号发生器使用说明(超级详细)

1-1 SG1651A函数信号发生器使用说明 一、概述 本仪器是一台具有高度稳定性、多功能等特点的函数信号发生器。能直接产生正弦波、三角波、方波、斜波、脉冲波,波形对称可调并具有反向输出,直流电平可连续调节。TTL可与主信号做同步输出。还具有VCF输入控制功能。频率计可做内部频率显示,也可外测1Hz~的信号频率,电压用LED显示。 二、使用说明 面板标志说明及功能见表1和图1 图1 序 号 面板标志名称作用1电源电源开关按下开关,电源接通,电源指示灯亮 2 波形波形选择1、输出波形选择 2、与1 3、19配合使用可得到正负相锯齿波和脉冲波 3频率频率选择开关频率选择开关与“9”配合选择工作频率外测频率时选择闸门时间 4Hz频率单位指示频率单位,灯亮有效 5K Hz频率单位指示频率单位,灯亮有效 6闸门闸门显示此灯闪烁,说明频率计正在工作 7溢出频率溢出显示当频率超过5个LED所显示范围时灯亮 8频率LED 所有内部产生频率或外测时的频率均由此5个LED显示 9频率调节频率调节与“3”配合选择工作频率 10直流/拉出直流偏置调节输 出 拉出此旋钮可设定任何波形的直流工作点,顺时 针方向为正,逆时针方向为负

DC1641数字函数信号发生器使用说明 一、概述 DC1641使用LCD显示、微处理器(CPU)控制的函数信号发生器,是一种小型的、由集成电路、单片机与半导体管构成的便携式通用函数信号发生器,其函数信号有正弦波、三角波、方波、锯齿波、脉冲五种不同的波形。信号频率可调范围从~2MHz,分七个档级,频率段、频率值、波形选择均由LCD显示。信号的最大幅度可达20Vp-p。脉冲的占空比系数由10%~90%连续可调,五种信号均可加±10V的直流偏置电压。并具有TTL电平的同步信号输出,脉冲信号反向及输出幅度衰减等多种功能。除此以外,能外接计数输入,作频率计数器使用,其频率范围从10Hz~10MHz(50、100MHz[根据用户需要])。计数频率等功能信息均由LCD 显示,发光二极管指示计数闸门、占空比、直流偏置、电源。读数直观、方便、准确。 二、技术要求 函数发生器 产生正弦波、三角波、方波、锯齿波和脉冲波。 2.1.1函数信号频率范围和精度 a、频率范围 由~2MHz分七个频率档级LCD显示,各档级之间有很宽的覆盖度, 如下所示: 频率档级频率范围(Hz) 1 ~2 10 1~20 100 10~200 1K 100~2K 10K 1K ~20K 100K 10K ~200K

正弦信号发生器设计

正弦信号发生器设计方案 1 引言 为了精确地输出正弦波、调幅波、调频波、PSK及ASK等信号,并依据直接数字频率合成(Direct Digital FrequencySvnthesizer,简称DDFS)技术及各种调制信号相关原理,设计了一种采用新型DDS器件产生正弦波信号和各种调制信号的设计方法。采用该方法设计的正弦信号发生器已广泛用于工程领域,且具有系统结构简单,界面友好等特点。 2 系统总体设计方案 图1给出系统总体设计方框图,它由单片机、现场可编程门阵列(FPGA)及其外围的模拟部分组成。在FPGA的内部数字部分中,利用FPGA内部的总线控制模块实现与键盘扫描、液晶控制等人机交互模块的通信,并在单片机与系统工作总控制模块之间的交互通信中起桥梁作用。系统工作总控制可统一控制各个时序模块;各时序模块用于完成相应的控制功能。在模拟部分中,利用无源低通滤波器及放大电路,使AD9851型DDS模块的输出信号成为正弦波和FM调制信号;再利用调幅电路,使FPGA内部DDS模块产生的信号与AD9851输出的载波信号变为调幅信号,同时在基带码控制下通过PSK/ASK调制电路得到PsK和ASK信号。最后,各路信号选择通道后,经功率放大电路驱动50Ω负载。 3 理论分析与计算 3.1 调幅信号 调幅信号表达式为:

式中:ω0t,ωt分别为调制信号和载波信号的角频率;MA为调制度。 令V(O)=Vocos(ω0t),V(ω)=MAcos(ωt),则V(t)=V(O)+V(O)V(ω)。故调幅信号可通过乘法器和加法器得到;通过改变调制信号V(ω)的幅值改变MA,V(ω)的范围为0.1~l V,MA对应为10%~100%。 3.2 调频信号 采用DDS调频法产生调频信号,具体实现方法:通过相位累加器和波形存储器在FPGA内部构成一个DDS模块,用于产生1 kHz的调制信号。其中,波形存储器的数据即为调制信号的幅度值。将这些表示幅度值的数据直接与中心频率对应的控制字相加,即可得到调频信号的瞬时频率控制字,再按调制信号的频率切换这些频率控制字,即可得到与DDS模块输出相对应的调频信号。 3.3 PSK和ASK信号 ASK信号是振幅键控信号,可用一个多路复用器实现。当控制信号为1时,选择载波信号输出;当控制信号为0时,不选择载波信号输出;当控制信号由速率为10 Kb/s的数字脉冲序列给出时,可以产生ASK信号。PSK信号是移相键控信号,这里只产生二相移相键控,即BPSK信号。它的实现方法与ASK基本相同,只是在控制信号为0时,选择与原载波信号倒相的输出信号,该倒相信号可由增益倍数为l的反相放大电路实现。 4 主要功能电路设计 图2给出调幅电路。它采用ADI公司的乘法器AD835实现。该器件内部自带加法器,可直接构成调幅电路。图3给出PSK/ASK电路。它主要由多路复用器和移相器构成。其中,移相器采用Maxim公司的高速运算放大器MAX477所构成的反相放大电路实现,多路复用器采用ADI公司的AD7502。当两条通道选择控制线A1AO为ll时,输出原信号;当A1A0为00时,输出原信号的反相信号;当A1A0为01时,无信号输出。这样只要FPGA按固定速率通过Al和AO两条控制线给出基带序列信号,就能相应输出PSK和ASK信号。

方波、三角波、正弦波函数信号发生器

内蒙古工业大学信息工程学院 内蒙古工业大学信息工程学院 课程学习报告 设计题目:如何实现正弦波、方波与三角波信号之间的变换 课程名称:模拟电子技术 班级: 姓名: 学号: 成绩: 指导教师:

目录 1 函数发生器的总方案及原理框图 (1) 1.1 电路设计原理框图 (1) 1.2 电路设计方案设计 (1) 2设计的目的及任务 (2) 2.1 课程设计的目的 (2) 2.2 课程设计的任务与要求 (2) 2.3 课程设计的技术指标 (2) 3 各部分电路设计 (3) 3.1 方波发生电路的工作原理 (3) 3.2 方波---三角波转换电路的工作原理 (3) 3.3 三角波---正弦波转换电路的工作原理 (6) 3.4电路的参数选择及计算 (8) 3.5 总电路图 (10) 4 电路仿真 (11) 4.1 方波---三角波发生电路的仿真 (11) 4.2 三角波---正弦波转换电路的仿真 (12) 5电路的安装与调试 (13) 5.1 方波---三角波发生电路的安装与调试 (13) 5.2 三角波---正弦波转换电路的安装与调试 (13) 5.3 总电路的安装与调试 (13) 5.4 电路安装与调试中遇到的问题及分析解决方法 (13) 6电路的实验结果 (14) 6.1 方波---三角波发生电路的实验结果 (14) 6.2 三角波---正弦波转换电路的实验结果 (14) 6.3 实测电路波形、误差分析及改进方法 (15) 7 实验总结 (17) 8 仪器仪表明细清单 (18) 9 参考文献 (19)

1.函数发生器总方案及原理框图 1.1 原理框图 1.2 函数发生器的总方案 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。根据用途不同,有产生三种或多种波形的函数发生器,使用的器件可以是分立器件(如低频信号函数发生器S101全部采用晶体管),也可以采用集成电路(如单片函数发生器模块8038)。为进一步掌握电路的基本理论及实验调试技术,本课题采用由集成运算放大器与晶体管差分放大器共同组成的方波—三角波—正弦波函数发生器的设计方法。 产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波—方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题采用先产生方波—三角波,再将三角波变换成正弦波的电路设计方法, 本课题中函数发生器电路组成框图如下所示: 由比较器和积分器组成方波—三角波产生电路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。

制作一个正弦信号发生器的设计

★项目2:数字信号源 项目简述:设计制作一个正弦信号发生器。 (1)正弦波输出频率范围:1kHz~10MHz; (2)具有频率设置功能,频率步进:100Hz; (3)输出信号频率稳定度:优于10-2; (4)输出电压幅度:1V到5V这间; (5)失真度:用示波器观察时无明显失真。 (6)输出电压幅度:在频率范围内 50负载电阻上正弦信号输出电压的峰-峰值V opp=6V±1V; (7)产生模拟幅度调制(AM)信号:在1MHz~10MHz范围内调制度m a可在30%~100%之间程控调节,步进量50%,正弦调制信号频率为1kHz,调制信号自行产生; (8)产生模拟频率调制(FM)信号:在100kHz~10MHz频率范围内产生20kHz最大频偏,正弦调制信号频率为1kHz,调制信号自行产生; (9)产生二进制PSK、ASK信号:在100kHz固定频率载波进行二进制键控,二进制基带序列码速率固定为10kbps,二进制基带序列信号自行产生; 开发时间:2007 开发人数:1 运行环境:windows xp、Quartus II 相关内容:(还未整体综合) 下面是调幅原理图:

下面是调频原理图:

Location P IN_28 Option Value VCC clk INPUT Location P IN_2Location P IN_4Location P IN_6Location P IN_8Location P IN_12Location P IN_14Location P IN_16Location P IN_18 Option Value VCC p0[7..0] INPUT LocationP IN_44 Option...V alue...VCC CSKF[2..0] INPUT Location P IN_20Location P IN_23Location P IN_42 Option Value VCC CSKC[2..0] INPUT Location P IN_180 Option Value daclk OUTPUT Location P IN_175Location P IN_176Location P IN_173Location P IN_174Location P IN_169Location P IN_170Location P IN_167Location P IN_168Location P IN_165Location P IN_166 Option Value qout[9..0] OUTPUT NOT inst23 GND address[11..0]clock q[9..0] sin_rom0 inst2 data[7..0]cskc[2..0]cskf[2..0]oen kc[23..0]kf[23..0] xsj1 inst A B A+B dataa[23..0] datab[23..0] result[23..0]lpm_add_sub0 inst15 A B A+B dataa[23..0] datab[23..0] result[23..0]lpm_add_sub0 inst16 DFF data[23..0]clock q[23..0] lpm_dff0 inst10 A B A+B dataa[23..0] datab[23..0] result[23..0]lpm_add_sub0 inst17 GND DFF data[23..0]clock q[23..0] lpm_dff0 inst9 address[11..0]clock q[9..0] sin_rom0 inst3 GND QQ[23..0] qa[23..0] QQ[23..12] qd[23..0] qb[23..0] qa[14..5] q a [23..15] q a [4..0]qu[23..12] qd[23..0] qb[23..0] qu[23..0] 下面是正弦信号发生器设计原理图: L o c a t i o n P I N _28O p t i o n V a l u e V C C c l k I N P U T L o c a t i o n P I N _2L o c a t i o n P I N _4L o c a t i o n P I N _6L o c a t i o n P I N _12L o c a t i o n P I N _14L o c a t i o n P I N _16L o c a t i o n P I N _18L o c a t i o n P I N _8 O p t i o n V a l u e V C C p 0[7..0] I N P U T C C P U T V C C I N P U T V C C I N P U T L o c a t i o n P I N _180 O p t i o n V a l u e d a c l k O U T P U T L o c a t i o n P I N _166L o c a t i o n P I N _165L o c a t i o n P I N _168L o c a t i o n P I N _167L o c a t i o n P I N _170L o c a t i o n P I N _169L o c a t i o n P I N _174L o c a t i o n P I N _173L o c a t i o n P I N _176L o c a t i o n P I N _175 O p t i o n V a l u e q o u t [9..0] O U T P U T G N D N O T i n s t 24 a d d r e s s [11..0]c l o c k q [9..0] s i n _r o m 0 i n s t 2 O C T A L L A T C H E S D [8..1]G O E N Q [8..1] 74373b n s t 6 G N D A B A + B d a t a a [47..0] d a t a b [47..0]r e s u l t [47..0]l p m _a d d _s u b 1 i n s t 3 D F F d a t a [47..0]c l o c k q [47..0] l p m _d f f 0 i n s t 8 d a t a [7..0]c s k c [5..0]o e n k c [47..0]x s j 1 i n s t 3:8 D E C O D E R A B G 1C G 2A N G 2B N Y 0N Y 1N Y 2N Y 3N Y 4N Y 5N Y 6N Y 7N 74138 n s t 5O C T A L L A T C H E S D [8..1]G O E N Q [8..1]74373b i n s t 10U n s i g n e d m u l t i p l i c a t i o n d a t a a [9..0]d a t a b [15..0] r e s u l t [25..0] l p m _m u l t 0 i n s t 16N O T i n s t 17 N O T i n s t 18 N O T i n s t 19 N O T i n s t 20 N O T i n s t 22 N O T i n s t 23 N O T i n s t 25N O T i n s t 26 c s [5..0] q q [47..0] q a [7..0]c s 7 q a [15..8] c s 6 c s 0 c s 1 c s 2 c s 3 c s 6 c s 7 c s 5 c s 4 q q [31..20] q a [15..0]q o u t [9..0] q o u [9..0]

函数信号发生器实验报告

青海师范大学 课程设计报告课程设计名称:函数信号发生器 专业班级:电子信息工程 学生姓名:李玉斌 学号:20131711306 同组人员:郭延森安福成涂秋雨 指导教师:易晓斌 课程设计时间:2015年12月

目录 1 设计任务、要求以及文献综述 2 原理综述和设计方案 2.1 系统设计思路 2.2设计方案及可行性 2.3 系统功能块的划分 2.4 总体工作过程 3 单元电路设计 3.1 安装前的准备工作 3.2 万用表的安装过程 4 结束语 1设计任务、要求 在现代电子学的各个领域,常常需要高精度且频率可方便调节的信号发生器。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路称为函数信号发生器,又名信号源或振荡器。函数信号发生器与正弦波信号发生器相比具有体积小、功耗少、价格低等优点, 最主要的是函数信号发生器的输出波形较为灵活, 有三种波形(方波、三角波和正弦波)可供选择,在生产实践,电路实验,设备检测和科技领域中有着广泛的应用。 该函数信号发生器可产生三种波形,方波,三角波,正弦波,具有数字显示输出信号频率和电压幅值功能,其产生频率信号范围1HZ~100kHZ,输出信号幅值范围0~10V,信号产生电路由比较器,积分器,差动放大器构成,频率计部分由时基电路、计数显示电路等构成。幅值输出部分由峰值检测电路和芯片7107等构成。 技术要求: 1. 信号频率范围 1Hz~100kHz; 2. 输出波形应有:方波、三角波、正弦波; 3. 输出信号幅值范围0~10V; 4. 具有数字显示输出信号频率和电压幅值功能。

2原理叙述和设计方案 2.1 系统设计思路 函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件(如低频信号函数发生器S101全部采用晶体管),也可以是集成器件(如单片集成电路函数信号发生器ICL8038)。产生方波、正弦波、三角波的方案也有多种,如先产生方波,再根据积分器转换为三角波,最后通过差分放大电路转换为正弦波。频率计部分由时基电路、计数显示电路等构成,整形好的三角波或正弦波脉冲输入该电路,与时基电路产生的闸门信号对比送入计数器,最后由数码管可显示被测脉冲的频率。产生的3种波经过一个可调幅电路,由于波形不断变化,不能直接测出其幅值,得通过峰值检测电路测出峰值(稳定的信号幅值保持不变),然后经过数字电压表(由AD转换芯片CC7107和数码管等组成),可以数字显示幅值。 2.2设计方案及可行性 方案一:采用传统的直接频率合成器。首先产生方波—三角波,再将三角波变成正弦波。 方案二:采用单片机编程的方法来实现(如89C51单片机和D/A转换器,再滤波放大),通过编程的方法控制波形的频率和幅度,而且在硬件电路不变的情况下,通过改变程序来实现频率变换。 方案三:是利用ICL8038芯片构成8038集成函数发生器,其振荡频率可通过外加直流电压进行调节。 经小组讨论,方案一比较需要的元件较多,方案二超出学习范围,方案三中的芯片仿真软件中不存在,而且内部结构复杂,不容易构造,综合评定,最后选择方案一。 2.3系统功能块的划分 该系统应主要包括直流稳压电源,信号产生电路,频率显示电路和电压幅值显示电路四大部分。 直流稳压电源将220V工频交流电转换成稳压输出的直流电压,信号产生电路产生的信号,经过适当的整形,作为频率显示电路的输入,从而达到了数字显示频率的要求;产生的信号经过幅频显示部分(峰值检测电路和数模转换),便

方波三角波正弦波函数信号发生器

信号发生器电路 队员: 指导教师: 二〇一六年一月

目录 1 函数发生器的总方案及原理框图 (1) 1.1 电路设计原理框图 (1) 2设计的目的及任务 (2) 2.1 课程设计的任务与要求 (2) 2.2 课程设计的技术指标 (2) 3 各部分电路设计 (3) 3.1 方波发生电路的工作原理 (3) 3.2 方波---三角波转换电路的工作原理 (3) 3.3 三角波---正弦波转换电路的工作原理 (6) 3.4电路的参数选择及计算 (8) 3.5 总电路图 (10) 4 电路仿真 (11) 4.1仿真电路图 (11) 4.2 方波---三角波发生电路的仿真 (11) 4.3 三角波---正弦波转换电路的仿真 (12) 5电路的焊接与调试 (13)

5.1 焊接实物图 (13) 5.2 方波---三角波发生电路的调试 (13) 5.3 三角波---正弦波转换电路的调试 (13)

1.函数发生器总方案及原理框图 1.1 原理框图 2.课程设计的目的和设计的任务 2.1设计任务 设计方波——三角波——正弦波函数信号发生器 2.2课程设计的要求及技术指标 1.设计、组装、调试函数发生器 2.输出波形:正弦波、方波、三角波; 3.频率范围:在1-10Hz, 10-100Hz范围内可调; 4.输出电压:方波UP-P≤24V,三角波UP-P=8V,正弦波UP-P>1V; 5.波形特性:方波tr<30um,三角波r△<2%,正弦波r△<5% 3.各组成部分的工作原理 3.1方波发生电路的工作原理 此电路由反相输入的滞回比较器和RC电路组成。RC回路既作为延迟环节,又作为反

开题报告模版-正弦信号发生器的设计

毕业设计(论文) 开题报告 题目正弦信号发生器的 FPGA设计 专业光信息科学与技术 班级光信072 学生周力强 指导教师杨秀芳 2011 年

一、毕业设计(论文)课题来源、类型 本课题是在长期研究可编程逻辑技术及数字逻辑原理基础上提出的,通过对于FPGA及VHDL硬件描述语言的了解与研究,以及对于开发软件Quartus Ⅱ的掌握,所进行的正弦信号发生器的设计。 课题来源:自选课题。 课题类型:系统设计。 二、选题的目的及意义 信号发生器是各种测试和实验过程中不可缺少的工具,在通信、测量、雷达、控制、教学等领域应用十分广泛。不论是在生产、科研还是在数学上,信号发生器都是电子工程师信号仿真实验的最佳工具,而且,信号发生器的设计方法多,设计技术也越来越先进。随着我国经济和科技的发展,对相应的测试仪器和测试手段提出了更高的要求,信号发生器已成为测试仪器中至关重要的一类,因此开发信号发生器具有重大意义。本课题的目的是研究正弦信号发生器的设计方法,设计出具有调频、调幅功能的正弦信号发生器。本文是以现场可编程门阵列(FPGA)作为硬件基础,先将所需要产生的正弦信号波形的一个周期的若干个采样点的二进制信息存储在波形存储器(ROM)中,再通过硬件电路依次从波形存储器中读取出来。经数模转换以及滤波后得到正弦信号的波形。 三、本课题在国内外的研究状况及发展趋势 正弦信号主要用于测量电路和系统的频率特性、非线性失真、增益及灵敏度等。按频率覆盖范围分为低频信号发生器、高频信号发生器和微波信号发生器;按输出电平可调节范围和稳定度分为简易信号发生器(即信号源)、标准信号发生器(输出功率能准确地衰减到-100分贝毫瓦以下)和功率信号发生器(输出功率达数十毫瓦以上);按频率改

相关文档