文档库 最新最全的文档下载
当前位置:文档库 › (完整word版)EDA-VerilogHDL期末复习题总结必过

(完整word版)EDA-VerilogHDL期末复习题总结必过

(完整word版)EDA-VerilogHDL期末复习题总结必过
(完整word版)EDA-VerilogHDL期末复习题总结必过

选择题

1.大规模可编程器件主要有FPGA、CPLD 两类,下列对FPGA 结构与工作原理的描述中,正确的是(C)。

A.FPGA 全称为复杂可编程逻辑器件;

B.FPGA 是基于乘积项结构的可编程逻辑器件;

C.基于SRAM 的FPGA 器件,在每次上电后必须进行一次配置;

D.在Altera 公司生产的器件中,MAX7000 系列属FPGA 结构。

2.不完整的IF语句,其综合结果可实现(A)

A. 时序逻辑电路

B.组合逻辑电

C. 双向电路

D. 三态控制电路

3.综合是EDA设计流程的关键步骤,在下面对综合的描述中,(D)是错误的。

A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;

B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;

C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;

D.综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。

4.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,

正确的是( C )。

A.FPGA全称为复杂可编程逻辑器件;

B.FPGA是基于乘积项结构的可编程逻辑器件;

C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;

D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。

5.以下关于状态机的描述中正确的是(B)

A.Moore型状态机其输出是当前状态和所有输入的函数

B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期

C.Mealy型状态机其输出是当前状态的函数

D.以上都不对

6.目前应用最广泛的硬件描述语言是(B)。

A. VHDL

B. Verilog HDL

C. 汇编语言

D. C语言

7.一模块的I/O 端口说明:“input [7:0] a;”,则关于该端口说法正确的是( A )。

A. 输入端口,位宽为8

B. 输出端口,位宽为8

C. 输入端口,位宽为7

D. 输出端口,位宽为7

8.基于EDA 软件的FPGA / CPLD 设计流程为:原理图/HDL 文本输入→综合

→___ __→→适配→编程下载→硬件测试。正确的是( B)。

①功能仿真②时序仿真③逻辑综合④配置⑤分配管脚

A.③①B.①⑤C.④⑤D.④②

9.下列标识符中,( A)是不合法的标识符。

A.9moon B.State0 C.Not_Ack_0 D.signall

10.下列语句中,不属于并行语句的是:( D )

A.过程语句B.assign语句C.元件例化语句D.case语句

11.已知“a =1’b1; b=3'b001;”那么{a,b} =(C)

(A) 4'b0011 (B) 3'b001 (C) 4'b1001 (D) 3'b101

12.在verilog 中,下列语句哪个不是分支语句?(D )

(A) if-else (B) case (C) casez (D) repeat

13.在verilog 语言中整型数据在默认情况与(C)位寄存器数据在实际意义上是相同的。

(A) 8 (B) 16 (C) 32 (D) 64

14.大规模可编程器件主要有FPGA、CPLD 两类,下列对FPGA 结构与工作原理的描

述中,正确的是(C)

A.FPGA 全称为复杂可编程逻辑器件;

B.FPGA 是基于乘积项结构的可编程逻辑器件;

C.基于SRAM 的FPGA 器件,在每次上电后必须进行一次配置;

D.在Altera 公司生产的器件中,MAX7000 系列属FPGA 结构。

15.请根据以下两条语句的执行,最后变量 A 中的值是(A)

reg [7:0] A;

A=2'hFF;

A.8'b0000_0011 B.8'h03 C.8'b1111_1111 D.8'b11111111

16.下列描述中采用时钟正沿触发且reset异步下降沿复位的代码描述是(C)

A、always @(posedge clk, negedge reset)

if(reset)

B、always@(posedge clk, reset)

if (!reset)

C、always @(posedge clk, negedge reset)

if(!reset)

D、always @(negedge clk, posedge reset)

if (reset)

17.关于过程块以及过程赋值描述中,下列正确的是(A)

A、在过程赋值语句中表达式左边的信号一定是寄存器类型;

B、过程块中的语句一定是可综合的;

C、在过程块中,使用过程赋值语句给wire 赋值不会产生错误;

D、过程块中时序控制的种类有简单延迟、边沿敏感和电平敏感。

18.Verilog 语言与C 语言的区别,不正确的描述是( C )

A 、Verilog 语言可实现并行计算,C 语言只是串行计算;

B、Verilog 语言可以描述电路结构,C 语言仅仅描述算法;

C、Verilog 语言源于C 语言,包括它的逻辑和延迟;

D、Verilog 语言可以编写测试向量进行仿真和测试。

19.11. 下列模块的例化正确的是( C )。

A. Mydesign design(sin(sin), sout(sout));

B. Mydesign design(.sin(sin), .sout(sout));

C. Mydesign design(.sin(sin), .sout(sout););

D. Mydesign design(.sin(sin); .sout(sout));

20.下列关于Verilog HDL语言中模块的例化说法错误的是( B )。

A. 在引用模块时,有些信号要被输入到引用模块中,有些信号要从引用模块中输出

B. 在引用模块时,必须严格按照模块定义的端口顺序来连接

C. 在引用模块时可以用“.”符号,表明原模块是定义时规定的端口名,用端口名和被引用模块的端口相对应,提高程序的可读性和可移植性

D. 在语句“Mydesign design( .port1( port1), .port2 (port2)); ”中,被引用的模块为Mydesign 模块

21.下列Verilog HDL语言中寄存器类型数据定义与注释矛盾的是( D )。

A. reg [3:0] sat //sat 为4 位寄存器

B. reg cnt //cnt 为1 位寄存器

C. reg [0:3] mymem [0:63] //mymem 为64 个4 位寄存器的数组

D. reg [1:5] dig //dig 为4 位寄存器

22.下列关于非阻塞赋值运算方式(如b<=a;)说法错误的是( B )。

A. 块结束后才完成赋值操作

B. b 的值立刻改变

C. 在编写可综合模块时是一种比较常用的赋值方式

D. 非阻塞赋值符“<=”与小于等于符“<=”意义完全不同,前者用于赋值操作,后者是关系运算符,用于比较大小。

23.下列关于阻塞赋值运算方式(如b=a;)说法错误的是( A )。

A. 赋值语句执行完后,块才结束

B. b 的值在赋值语句执行完后立刻就改变的

C. 在沿触发的always 块中使用时,综合后可能会产生意想不到的结果

D. 在“always”模块中的reg 型信号都采用此赋值方式

24.在下列Verilog HDL运算符中,属于三目运算符的是( C )。

A. &&

B. !==

C. ?:

D. ===

25.当 a <0 时,s 的值是( C )。

assign s= (a >=2 ) ? 1 : (a < 0) ? 2: 0;

A. 0

B. 1

C. 2

D. 其他

26.在Verilog HDL 语言中的位拼接运算符是( A )。

A. { }

B. < >

C. ( )

D. ' '

27.下面语句中,信号 a 会被综合成( B )。

reg [5:0] a;

always @(posedge clk)

if (ss>10)

a <= 20;

else if (ss > 15) a <= 30;

A. 寄存器

B. 触发器

C. 连线资源

D. 其他

28. 下列程序段中无锁存器的是( C )。

29. 程序段如下 : begin:

reg[7:0] tem; count = 0; tem = rega; while(tem) begin

if(tem[0]) count = count +1; tem = tem >>1; end end

如果 rega 的值为 8 ' b10101011,则程序结束后, count 的值是( )。 A. 4 B. 5 C. 6 D. 7

30. 多路选择器简称多路器,它的输入输出端口情况是( )。

A. 多输入,多输出

B. 多输入,单输出

C. 单输入,多输出

D. 单输入,单输出

填空题

A. always @ (al or d) begin if(al) q<= d; end

B. always @ (al or d) begin if(al) q<=d;if(!al) q<=!d; end

C. always @ (al or d) begin if(al) q<=d; else q<=0; end

D. always @ (sel[1:0]

or a or b)

case(sel[1:0])

2' b00: q<=a;

2' b11; q<=b;

Endcase

1.用EDA 技术进行电子系统设计的目标是最终完成ASIC 的设计与实现。

2.可编程器件分为FPGA 和CPLD 。

3.随着EDA 技术的不断完善与成熟,自顶向下的设计方法更多的被应用于Verilog HDL

4.设计当中。

5.目前国际上较大的PLD 器件制造公司有Altera 和Xilinx 公司。

6.完整的条件语句将产生组合电路,不完整的条件语句将产生时序电路。

7.阻塞性赋值符号为= ,非阻塞性赋值符号为<= 。

8.有限状态机分为Moore和Mealy 两种类型。

9.EDA 缩写的含义为电子设计自动化(Electronic Design Automation)

10.状态机常用状态编码有二进制、格雷码和独热码。

11.Verilog HDL 中任务可以调用其他任务和函数。

12.系统函数和任务函数的首字符标志为$ ,预编译指令首字符标志为# 。

13.可编程逻辑器件的优化过程主要是对速度和资源的处理过程。

14.大型数字逻辑电路设计采用的IP 核有软IP、固IP 和硬IP。

15.IEEE 标准的硬件描述语言是verilog HDL和VHDL。

16.Verilog 语言规定的两种主要的数据类型分别是wire( 或net) 和reg。程

序模块中输入,输出信号的缺省类型为wire( 或net)。

17.Verilog 语言规定了逻辑电路中信号的4 种状态,分别是0,1,X 和Z。

其中0 表示低电平状态,1 表示高电平状态,X 表示不定态(或未知状态),Z 表示高阻态。

18.块语句有两种,一种是begin-end 语句,通常用来标志顺序执行的语句;

一种是fork-join 语句,通常用来标志并行执行的语句。

19.写出表达式以实现对应电路的逻辑功能

20.下面两段代码中信号in,q1,q2 和q3 的初值分别为0,1,2 和3,

那么经过 1 个时钟周期后,左侧程序中q3 的值变成0,右侧程序中q3 的值变成2。

名词解释

1.EDA

2.ASIC 专用集成电路

3.RTL 寄存器传输级

4.FPGA 现场可编程门阵列

5.SOPC 可编程片上系统

6.CPLD 复杂可编程逻辑器件

7.LPM 参数可定制宏模块库

8.EDA 电子设计自动化

9.IEEE 电子电气工程师协会

10.IP 知识产权核

11.ISP 在系统可编程

12.LUT:查找表

13.HDL:硬件描述语言

14.RTL:寄存器传输逻辑

简答题

1.简要说明仿真时阻塞赋值与非阻塞赋值的区别。

非阻塞(non-blocking) 赋值方式( b<= a) :

b 的值被赋成新值a 的操作, 并不是立刻完成的,而是在块结束时才

完成;

块内的多条赋值语句在块结束时同时赋值;

硬件有对应的电路。

阻塞(blocking) 赋值方式( b = a) :

b 的值立刻被赋成新值a;

完成该赋值语句后才能执行下一句的操作;

硬件没有对应的电路,因而综合结果未知。

2.简述有限状态机FSM 分为哪两类?有何区别?有限状态机的状态编码风格

主要有哪三种?FSM 的三段式描述风格中,三段分别描述什么?

根据内部结构不同可分为摩尔型Moore状态机和米里型Mearly状态机两种。摩尔型状态机的输出只由当前状态决定,而次态由输入和现态共同决定;米里型状态机的输出由输入和现态共同决定,而次态也由输入和现态决定。状态编码主要有三种:连续二进制编码、格雷码和独热码。

3.Verilog HDL 语言进行电路设计方法有哪几种

①自上而下的设计方法(Top-Down )

②自下而上的设计方法(Bottom-Up )

③综合设计的方法

4.简述moore状态机和mealy状态机的区别

答:从输出的时序上看,Mealy机的输出是当前状态和所有输入信号的函数,它的输出是在输入变化后立即发生的。Moore机的输出则仅为当前状态的函数,在输入发生变化时还必须等待时钟的到来,时钟使状态发生变化时才导致输出的变化。

Moore型状态机:次态=f(现状,输入),输出=f(现状);

Mealy型状态机:次态=f(现状,输入),输出=f(现状,输入);

5.简述FPGA内部主要结构及其功能

答:FPGA由6部分组成,分别为可编程输入/输出单元、基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入功能单元和内嵌专用硬核等。

大多数FPGA的I/O单元被设计为可编程模式,即通过软件的灵活配置,可适应不同的电器标准与I/O物理特性;可以调整匹配阻抗特性,上下拉电阻;可以调整输出驱动电流的大小等。查找表完成纯组合逻辑功能。查找表完成纯组合逻辑功能。嵌入式块RAM可以配置为单端口RAM、双端口RAM、伪双端口RAM、CAM、FIFO等存储结构。布线资源连通FPGA内部所有单元。

6.简述基于数字系统设计流程包括哪些步骤?

包括五个步骤:

⑴、设计输入:将设计的结构和功能通过原理图或硬件描述语言进行设计或编程,进行

语法或逻辑检查,通过表示输入完成,否则反复检查直到无任何错误。

⑵、逻辑综合:将较高层的设计描述自动转化为较低层次描述的过程,包括行为综合,

逻辑综合和版图综合或结构综合,最后生成电路逻辑网表的过程。

⑶、布局布线:将综合生成的电路网表映射到具体的目标器件中,并产生最终可下载文件的过程。

⑷、仿真:就是按照逻辑功能的算法和仿真库对设计进行模拟,以验证设计并排除错误

的过程,包括功能仿真和时序仿真。

⑸、编程配置:将适配后生成的编程文件装入到PLD 器件的过程,根据不同器件实现编程或配置。

7.简述CPLD和FPGA主要区别。

答:CPLD:主要逻辑阵列块、宏单元、扩展乘积项和可编程连线阵列构成。程序掉电不丢失。

FPGA:FPGA中多使用4输入的LUT,所以每一个LUT可以看成一个有4位地址线的16x1的RAM,程序掉电丢失,需重新加载。

8.EDA设计的基本设计过程。

答:图形输入HDL;文本输入; 综合;适配;时序仿真与功能仿真;编程下载;

硬件测试 .

9.解释什么是功能仿真?综合

答:是直接对HDL、原理图描述或其他描述形式的逻辑功能进行测试模拟,以了解其实现的功能是否满足原设计的要求。仿真过程可不涉及任何具体器件的硬件特性。

10.简述Verilog描述的状态机的一般结构包含哪几个部分。

答:说明部分、主控时序进程、主控组合进程、辅助进程。

(1)说明部分:使用parameter定义系统状态: 用于描述状态。

(2)主控时序进程:负责状态机运转和在时钟驱动下负责状态转换的进程。

(3)主控组合进程:根据外部输入的控制信号和当前状态的状态值确定下一状态(next_state)的取向。

(4)辅助进程:用于配合状态机工作的组合进程或时序进程

11.简述Verilog HDL 编程语言中函数与任务运用有什么特点?

函数和任务都能独立完成相应电路功能,通过在同一模块中的调用实现相应逻辑电路功能。但它们又有以下不同:

⑴、函数中不能包含时序控制语句,对函数的调用,必须在同一仿真时刻返回。而任务可以包含时序控制语句,任务的返回时间和调用时间可以不同。

⑵、在函数中不能调用任务,而任务中可以调用其它任务和函数。但在函数中可以调用其它函数或函数自身。

⑶、函数必须包含至少一个端口,且在函数中只能定义input 端口。任务可以包含0个或任何多个端口,且可以定义input、output 和inout 端口。

⑷、函数必须返回一个值,而任务不能返回值,只能通过output 或inout 端口来传递执行结果。

12.简述FPGA 与CPLD 两种器件应用特点。

CPLD 与FPGA 都是通用可编程逻辑器件,均可在EDA 仿真平台上进行数字逻辑电路设计,它们不同体现在以下几方面:

⑴FPGA 集成度和复杂度高于CPLD ,所以FPGA 可实现复杂逻辑电路设计,而CPLD适合简单和低成本的逻辑电路设计。

⑵、FPGA 内主要由LUT 和寄存器组成,倾向实现复杂时序逻辑电路设计,而CPLD内主要由乘积项逻辑组成,倾向实现组合逻辑电路设计。

⑶、FPGA 工艺多为SRAM 、flash 等工艺,掉电后内信息消失,所以该类型需外配存储器,而CPLD 工艺多为EEPROM 等工艺,掉电后信息不消失,所以不用外配存储器。

⑷、FPGA 相对CPLD 成本高,但都可以在内都镶嵌硬核和软核,实现片上系统功能。

13.画出下面程序综合出来的电路图。

always@(posedge clk)

begin

q0<=~q2;

q1<= q0;

q2<= q1;

end

程序填空

1.下面是case语句编写的3-8译码器电路,将横线上的语句补上,使程序形成

完整功能

①input[2:0] sel; ②output[7:0] csout;③sel,csout ④sel

2.下面是通过case语句实现四选一电路部分程序,将横线上的语句补上,使

①input s1,s0;②reg y; ③{s1,s0} ④default

3.下面是通过循环语句实现程序,用以统计一个8位二进制数中含1的数量,

将横线上的语句补上,使程序形成完整功能。

①input [7:0]x;②integer i;③x④if(x[i]) num=num+1;

4.下面是程序功能是一个具有同步置1,异步清零0的D触发器。端口说明

①output Q;②posedge CLK or negedge RST③!RST④SET

对应RTL图完成Verilog程序。

①output Q; ②posedge clk or posedge reset or posedge set ③Q<=0; ④Q<=1; ⑤Q<=D;

编程题

1.试用Verilog HDL 描述一个带进位输入、输出的8 位全加器。

端口:A、 B 为加数,CI 为进位输入,S 为和,CO 为进位输出

2.设计一个4位4输入最大数值检测电路,其中,a、b、c和d为4位二进制

数,输出为max。

3.编写一个带异步清零、异步置位的D 触发器。

端口:CLK 为时钟,D 为输入,CLK 为清零输入端,SET 为置位输入端;

Q 输出端。

4.设计一个带有异步复位控制端和时钟使能控制端的10进制计数器。

端口设定如下:

输入端口:CLK :时钟,RST:复位端,EN:时钟使能端,LOAD :置位控制端,DIN :置位数据端;输出端口:COUT:进位输出端,DOUT :计数输出端

5.编写一个4位加法计数器VHDL程序的进程(不必写整个结构框架),要求复

位信号reset低电平时计数器清零,变高后,在上升沿开始工作;输入时钟信号为clk,输出为

6.填写完成一个8-3线编码器的真值表(5分),并写出其verilog程序

7.用IF语句编写一个四选一电路,要求输入d0~d3, s为选择端,输出y。

8.现有输入信号是一个占空比位50%的方波,用VHDL设计一个时钟的5分频

电路,输出为占空比位20%,写出VHDL代码。

9.现有输入信号是一个占空比位50%的方波,用VHDL设计一个时钟的5分频

电路,输出为占空比位50%,写出VHDL代码。

10.试用verilog 语言描述:图示为一个4 位移位寄存器,是由四个D 触发器

(分别设为U1,U2,U3,U4)构成的。其中seri_in 是这个移位寄存器的串行输入;clk 为移位时脉冲输入;clr 为清零控制信号输入;Q[1]~Q[3] 则为移位寄存器的并行输出。

11.设计一个带复位端且对输入时钟clk 进行二分频模块,并画出仿真波形。

12.设计一带异步复位端、异步置数段(低电平有效)的四位加法计数器,时钟

clk上升沿有效),复位信号clr,置数信号load、输入数据data、输出qout 。

并画出仿真波形。

module adder_4(qout,clr,clk,load,data);

output[3:0] qout;

input[3:0] data;

input load,clr,clk;

reg[3:0] qout;

always @(posedge clk or negedge load or negedge clk)

begin

if(!load)

qout<=data;

else if(!clr)

qout<=0;

else qout<=qout+1;

end

endmodule

13.试设计一个3/8 译码器,规定模块定义为module Decoder(Out,In,En), 其中

Out为译码器输出,In 为译码器输入,En 为译码使能输入。要求:写出3/8 译码器Verilog HDL设计程序并注释;

module decoder(Out,In,En); (2 分)

output [7:0] out;

input [2:0] in;

input en; //IO 定义(3 分)

reg [7:0] out;

always @ (In or En)

begin

if(En == 0) //若 En 为低电平, 3 输出无效电平(2 分)

Out = 8 ’ b0;

else // 若 En 为高电平, 3/8 译码(3 分)

case(in)

3 ’b000: Out = 8 ’ b00000001; //0

3 ’ b001: Out = 8 ’ b00000010; //1

3 ’ b010: Out = 8 ’ b00000100; //2

3 ’ b011: Out = 8 ’ b00001000; //3

3 ’ b100: Out = 8 ’ b00010000; //4

3 ’ b101: Out = 8 ’ b00100000; //5

3 ’ b110: Out = 8 ’ b01000000; //6

3 ’ b111: Out = 8 ’ b10000000; //7

endcase

end

设计一个

钻探工程考试题

钻探工程考试重点 1.试叙述钻探方法的种类。 A 根据钻进时取心的特点分类——岩心钻探和不取心(无岩心、无心、全面)钻探。 B 根据钻孔用途分类——按钻探的应用范围分出相应类型。 C 根据钻孔中心线的倾角和方位角分类——垂直孔(上、下垂)、下斜孔、上仰孔、水平孔。 D 根据钻孔位置的分类——地表钻探、水上(河、湖、海)钻探、地下坑道钻探。 E 根据钻孔布置方法分类——丛状钻探、多井筒钻探、多孔底钻探 F 根据破岩形式分类 (1)物理方法破岩:①高温(1400℃~3500℃)、高压(200~250MP a)破岩;②用超声波和低声波破岩; ③用爆破、高压水射流等方法破岩。 (2)化学方法破岩:此法使用较少,如溶解、软化岩石等。 (3)机械方法破岩:使用最为广泛,其分类如图1.5所示 G 根据钻进使用的冲洗液分类 ◆ 清水钻进; ◆ 乳化液钻进; ◆ 泥浆钻进(含加重泥浆); ◆ 饱和盐溶液钻进(钻盐井,以防溶解岩心和孔壁); ◆ 空气钻进; ◆ 泡沫钻进; ◆ 雾化钻进; ◆ 充气泥浆钻进(降低泥浆比重,以防漏失) 2.为何大直径灌注桩需使用反循环成孔?(10分) 反循环:泥浆由孔口进入,利用泵吸、气举等措施抽吸泥浆,泥浆携带钻渣由钻杆上升进入泥浆池 反循环本身所具有的特点,给提高成孔效率、成桩质量和综合经济效益等方面带来一系列的好处。 3.试比较液动冲击钻进与风动冲击钻进的特点? 液动冲击器与气动冲击器的比较 冲击钻进 手动冲击钻进 机械冲击钻进 冲击器钻进 钻机钻进 液动冲击器钻进 风动冲击器钻进 回转钻进 手动回转钻进 机械回转钻进 深井回转钻进 硬质合金钻进 钻粒钻进 金刚石钻进 螺旋钻进 震动钻进 转盘钻进 井底发动机钻进 涡轮钻进 螺杆钻进 电钻进 其它 冲击回转钻进 图1.5 机械破碎岩石的钻探方法分类

操作系统期末试卷(含答案)

操作系统复习题1 一、判断题 1.分时系统中,时间片设置得越小,则平均响应时间越短。() 2.多个进程可以对应于同一个程序,且一个进程也可能会执行多个程序。() 3.一个进程的状态发生变化总会引起其他一些进程的状态发生变化。() 4.在引入线程的OS中,线程是资源分配和调度的基本单位。() 5.信号量的初值不能为负数。() 6.最佳适应算法比首次适应算法具有更好的内存利用率。() 7.为提高对换空间的利用率,一般对其使用离散的分配方式。() 8.设备独立性是指系统具有使用不同设备的能力。() 9.隐式链接结构可以提高文件存储空间的利用率,但不适合文件的随即存取。() 10.访问控制矩阵比访问控制表更节约空间。() 二、选择题 1.在设计分时操作系统时,首先要考虑的是(A);在设计实时操作系统时,首先要考虑的是(B);在设计批处理系统时,首先要考虑的是(C)。 A,B,C :(1)灵活性和适应性;(2)交互性和响应时间;(3)周转时间和系统吞吐量;(4)实时性和可靠性。 2.对一个正在执行的进程:如果因时间片完而被暂停执行,此时它应从执行状态转变为(D)状态;如果由于终端用户的请求而暂停下来,则它的状态应转变为(E)状态;如果由于得不到所申请的资源而暂停时下来,则它的状态应转变为(F)状态。D,E,F:(1);静止阻塞(2);活动阻塞(3);静止就绪(4);活动就绪(5)执行。 3.我们如果为每一个作业只建立一个进程,则为了照顾短作业用户,应采用(G);为照顾紧急作业用户,应采用(H);为能实现人机交互,应采用(I);而能使短作业、长作业和交互作业用户满意时,应采用(J)。 G,H,I,J:(1);FCFS调度算法(2);短作业优先调度算法;(3)时间片轮转算法;(4)多级反馈队列调度算法;(5)基于优先权的剥夺调度算法。 4.由固定分区发展为分页存储管理方式的主要推动力是(K);由分页系统发展为分段系统,进而发展为段页式系统的主要动力分别是(L)和(M)。 K,L,M:(1)提高内存利用率;(2)提高系统吞吐量;(3)满足用户需要;(4)更好地满足多道程序进行的需要;(5)既满足用户需求,又提高内存利用率。 5.在存储管理中,不会产生内部碎片的存储管理方式是(N);支持虚拟存储器,但不能以自然的方式提供存储器的共享和存取保护机制的存储管理方式是(O)。 N:(1)分页式存储管理;(2)分段式存储管理;(3)固定分区式存储管理;(4)段页式存储管理。 O:(1)段页式存储管理;(2)请求分区页式存储管理;(3)请求分段式存储管理;(4)可变分区存储管理;(5)固定分区存储管理;(6)单一连续分区式存储管理。 6.磁盘调度主要是为了优化(P),下列算法中能避免磁盘粘着的现象的是(Q)。P:(1)寻道时间;(2)旋转延迟时间;(3)传输时间。 Q:(1)SSTF;(2)FCFS;(3)SCAN;(4)CSCAN;(5)FSCAN。 7.文件系统中,目录管理最基本的功能是(R),位示图的主要功能是(S),FAT表的主要功能是(T)。 R,S,T:(1)实现按名存取;(2)提高文件存储空间利用率;(3)管理文件存储器的空闲空间;(4)指出分配给文件的盘块(首个盘块除外)的地址;(5)管理文件存储器的空闲空间,并指出分配给文件的盘块(首个盘块除外)的地址。8.文件系统采用多级目录结构,可以(U)和(V)。 U,V:(1)缩短访问文件存储器时间;(2)节省主存空间;(3)解决不同用户文件的命名冲突;(4)方便用户读写文件;(5)提高检索目录的速度。 9.计算机系统中信息资源的安全包括(W)、(X)和(Y)三个方面,其中程序被删除属于(W)方面的威胁,数据被非法截取属于(X)方面的威胁,消息被更改属于(Y)方面的威胁。W,X,Y:(1)保密性;(2)完整性;(3)可用性;(4)方便性。 三、填空题 1.操作系统最基本的特征是(1)和(2),最主要的任务是(3)。 2.引入进程的主要目的是(4),进程存在的唯一标志是(5)。 3.(6)是指通过破坏死锁产生的必要条件来防止死锁的发生。引起死锁的四个必要条件中,(7)是不应该被破坏的,但对某些特殊的资源(如打印机),该条可通过(8)来破坏;而其他能被破坏的三个必要条件分别是(9)、(10)和(11)。 4.虚拟存储器管理的基础是(12)原理,在请求分页管理方式中,页表中的状态位用来只是对应页(13)修改位用来只是对应页(14),引用位则是供(15)使用;而在请求分段系统还增加了增补位,它用来指示(16)。 5.设备驱动程序是(17)与(18)之间的通信程序如果系统中有3台相同的单显和2台相同的彩显则必须为它们配置(19)种设备驱动程序 6.廉价磁盘冗余阵列可组成一个大容量磁盘系统,它利用(20)技术来提高磁盘系统的存取进度,而利用(21)技术来增加磁盘系统的可靠性 7.包过滤防火墙工作在(22)层,采用代理服务技术的防火墙则工作在(23)层 文件系统对文件存储空间采用(23)分配方式,它通过(24)来管理空闲的文件存储空间。 四、问答题 1.假设某多道程序设计系统中有供用户使用的内存100k,打印机1台。系统采用可变分区管理内存:对打印机采用静态分配,并假设输入输出操作的时间忽略不计:采用最短剩余时间优先的进程调度算法,进程剩余执行时间相同时采用先来先服务算法;进程调度时机在执行进程结束时或有新进程到达时。现有一进程序列如下: 假设系统优先分配内存的低地址区域,且不需移动已在主存中的进程,请: (1)给出进度调度算法选中进程的次序,并说明理由。 (2)全部进程执行结束所用的时间是多少 2.请用信号量解决以下的过独木桥问题:同一方向的行人可连续过桥,当某一方向的行人必须等待:另一方向的行人必须等待:当某一方向无人过桥是,另一方向的行人可以过桥。 3.提高内存利用率的途径有哪些 4.何谓脱机输入/输出技术 5. 将目录文件当作一般数据文件来处理有什么优缺点 操作系统复习题1答案 一、判断题 1、错 2、对 3、错 4、对 5、对 6、错 7、错 8、错 9、对10、错 二、选择题 1、A :(2);B:(4);C:(3)。 2、D:(4);E:(3);F:(2)。 3、G:(2);H:(5);I:(3);J:(4)。 4、K:(1);L:(3);M:(5)。 5、N:(2);O:(2)。 6、P:(1)寻道时间;Q:(5)。 7、R:(1);S:(3);T:(5)。8、U:(3);V:(5)。9、W:(3);X:(1);Y:(2)。 三、填空题 (1)并发;(2)资源共享;(3)管理资源;(4)使程序能够正确地并发执行;(5)进程控制快PCB;(6)预防死锁;(7)互斥条件;(8)SPOOLing技术;(9)

钻井工程总复习及答案

《钻井工程》综合复习资料 一、判断题 1、在二维定向井设计轨道上,某点的水平位移和水平投影长度是相等的。() 2、井斜角越大,井眼曲率也就越大。() 3、气侵关井后,井口压力不断上升,说明地层压力在不断升高。() 4、采用裸眼完井方法可以避免水泥浆对产层的损害。() 5、钻井液的n、k值是宾汉流型的流变参数。() 6、在相同的泵压水平下,采用大尺寸钻杆,能够降低循环压耗,提高钻头压降。() 7、岩石的抗拉强度小于抗压强度,但大于抗剪强度。() 8、牙轮钻头的移轴目的是为了使牙轮钻头产生轴向滑动剪切掉齿圈间岩石。() 9、紊流顶替水泥浆的效率比层流顶替的效率高。() 10、套管柱在承受轴向拉应力时,其抗外挤强度减小。() 11、用dc指数法检测地层压力时没有考虑到水力因素的影响。() 12、在正常压力层段,声波时差随井深的增加呈逐渐减小的趋势。() 13、关井立管压力等于地层压力与钻柱内钻井液液柱压力之差。() 14、造斜工具装置角为75°时,所钻井眼的井斜角与井斜方位角都增大。() 15、岩石的强度随围压的增大而增大,塑性随围压的减小而减小。() 16、钻井液中固相含量越高、分散性越好,机械钻速越高。() 17、随着埋藏深度的增加,地层压力增加。() 18、牙轮钻头的寿命主要取决于牙齿寿命和轴承寿命中最短的一个。() 19、压井时控制井底压力不变的途径是保持井底压力不变。() 20、满眼钻具既能有效地控制井斜角的变化,又能降斜。() 21、钻柱偏磨严重,说明钻柱在井下的运动形式主要是公转。() 22、只要套管居中,水泥浆的顶替效率就可以达到100%。() 23、钻速方程中的门限钻压是钻进中限制的最大钻压。() 24、取心钻井的关键环节包括形成岩心、保持岩心和取出岩心。() 25、装置角是以高边方向线为始边,顺时针转至装置方向线所转过的角度。() 26、射孔完井法是目前完井最常采用的方法。() 二、名词解释 1、牙齿磨损量: 2、水泥浆稠化时间: 3、上覆岩层压力: 4、卡钻:

月度个人工作总结word版

2020公司员工个人月度工作总结 工作做得好,能反映一个人的工作能力。公司员工个人月度工作总结是为大家准备的,希望对大家有帮助。公司月总结(一)在这一个月里,我们财务部的员工能够任劳任怨、齐心协力... 人气3 时间05-14 2020公司月度工作总结范文 每一年都有自己的进步,每一年都会有自己的成长。公司月度工作总结范文是为大家准备的,希望对大家有帮助。公司月总结(一)一、主要工作情景1、重视理论学习,坚定政治信念,?... 人气3 时间05-14 公司员工月工作总结报告范文 相信很多人都有写过月工作总结的经历,那么我们下面同样推荐员工月工作总结报告范文,欢迎阅读。公司月总结(一)转至_部工作至今已有一月了,在各级领导和同事的帮忙指导下,透过?...

人气2 时间05-14 2020公司的月工作总结范文 总结按时间分,有月份总结、季度总结、半年总结、年度总结、一年以上的时期总结等。下面是为您精心整理的公司的月工作总结范文。公司月总结(一)时间飞逝,转眼间,做为一名... 人气1 时间05-14 2020个人工作总结的范文简短 坚持立足岗位、踏实工作、爱岗敬业,把做好本职工作作为实现自身社会价值的必经之路,让理想和信念在岗位上熠熠发光。为大家带来了个人工作总结的范文简短,欢迎大家阅读。个人... 人气2 时间05-14 员工个人工作总结经典范文 只有树立服务意识,加强沟通协调,才能把分内的工作做好。为大家带来了员工个人工作总结范文,欢迎大家阅读。个人总结范文(一)我叫_X,于__年度开

始担任我_公司财务部副部长一... 人气3 时间05-14 销售业务员月工作总结范文 销售业务员月工作总结该怎么写呢?下面是由为大家整理的“销售业务员月工作总结范文”欢迎大家阅读,仅供大家参考,希望对您有所帮助。销售月工作总结(一)来新单位报到转眼就?... 人气4 时间05-13 2020销售人员个人月度工作总结 热爱本职工作,事业心强,是做好工作的前提,也是搞好总结的基础。以下是为大家准备的销售人员个人月度工作总结,希望对大家有帮助。销售月工作总结(一)回顾整个7月,我学会?... 人气3 时间05-13 销售岗位月度工作总结范文

N套_操作系统期末试卷(含答案)

一、选择题 1、在现代操作系统中引入了(),从而使并发和共享成为可能。 A.单道程序 B. 磁盘 C. 对象 D.多道程序 2、( )操作系统允许在一台主机上同时连接多台终端,多个用户可以通过各自的终端同时交互地使用计算机。 A.网络 B.分布式 C.分时 D.实时 3、从用户的观点看,操作系统是()。 A. 用户与计算机硬件之间的接口 B.控制和管理计算机资源的软件 C. 合理组织计算机工作流程的软件 D.计算机资源的的管理者 4、当CPU处于管态时,它可以执行的指令是()。 A. 计算机系统中的全部指令 B. 仅限于非特权指令 C. 仅限于访管指令 D. 仅限于特权指令 5、用户在程序中试图读取某文件的第100个逻辑块时,使用操作系统提供的()接口。 A. 系统调用 B.图形用户接口 C.原语 D.键盘命令 6、下列几种关于进程的叙述,()最不符合操作系统对进程的理解 A.进程是在多程序并行环境中的完整的程序。 B.进程可以由程序、数据和进程控制块描述。 C.线程是一种特殊的进程。 D.进程是程序在一个数据集合上运行的过程,它是系统进行资源分配和调度的一个独立单位。 7、当一个进程处于()状态时,称其为等待(或阻塞)状态。 A. 它正等待中央处理机 B. 它正等待合作进程的一个消息 C. 它正等待分给它一个时间片 D. 它正等待进入内存 8、一个进程释放一种资源将有可能导致一个或几个进程()。 A.由就绪变运行 B.由运行变就绪 C.由阻塞变运行 D.由阻塞变就绪 9、下面关于线程的叙述中,正确的是()。 A.不论是系统支持线程还是用户级线程,其切换都需要内核的支持。 B.线程是资源的分配单位,进程是调度和分配的单位。 C.不管系统中是否有线程,进程都是拥有资源的独立单位。 D.在引入线程的系统中,进程仍是资源分配和调度分派的基本单位。 10、设有3个作业,它们同时到达,运行时间分别为T1、T2和T3,且T1≤T2≤T3,若它们在单处理机系统中按单道运行,采用短作业优先调度算法,则平均周转时间为()。 A. T1+T2+T3 B. (T1+T2+T3)/3 C. T1+T2/3+2*T3/3 3+2*T2/3+T1 11、在下面的I/O控制方式中,需要CPU干预最少的方式是()。 A.程序I/O方式 B.中断驱动I/O控制方式 C.直接存储器访问DMA控制方式D.I/O通道控制方式 12、有m个进程共享同一临界资源,若使用信号量机制实现对一临界资源的互斥访问,则信号量的变

期末习题题目练习——钻井工程

《钻井工程》期末复习题 一、判断题。 1.随着岩石围压的增大,岩石表现为由塑性向脆性转变。 ( ) 2.对塑性岩石,塑性系数等于1。 ( ) 3.金刚石材料钻头抗冲击性能好。 ( ) 4.方钻杆上端与水龙头连接部位的丝扣为正扣。 ( ) 5.钻井液密度不能过高,也不能过低。为提高钻速,在地层许可的情况下,应尽可能使用低密度钻井液。 ( ) 6.滤饼渗透性是影响钻井液静滤失量的主要因素。 ( ) 7.转速提高,钻头工作刃与岩石接触时间缩短,每次接触时的岩石破碎深度增加。 ( ) 8.目前在油田使用的磁性测斜仪以地球的地理北极为基准。 ( ) 9.一般来说,垂直地层层面方向可钻性高,平行于层面方向可钻性低。 ( ) 10.气侵关井后,关井套压不一定大于关井立压。 ( ) 11.井斜角越小方位越不稳定。 ( ) 12.所谓井眼轨道就是指实钻井眼轴线形状。 ( ) 13.地层的埋藏深度越深,岩石的密度越大,孔隙度越小,上覆岩层压力越小。 ( ) 14.钻井液密度的确定要根据地层压力并考虑井眼的稳定附加一定的安全值。( ) 15.泵压是克服循环系统中摩擦损失所需的压力。 ( ) 16.抽吸压力使井底压力减小。 ( ) 17.静液压力的大小与井眼的尺寸有关。 ( ) 18.声波测井是较普遍用于评价地层压力的一种电阻率测井方法。 ( ) 19.在钻井泵克服这个流动阻力推动钻井液向上流动时,井壁和井底也承受了该流动阻力,因此,井底压力增加。 ( ) 20.钻井液在环空中上返速度越大、井越深、井眼越不规则、环空间隙越小,且钻井液密度、切力越高,则环空流动阻力越大;反之,则环空流动阻力越小。 ( ) 21.若能尽早地发现溢流,则硬关井产生的“水击效应”就较弱,也可以使用硬关井。( ) 22.欠平衡钻井不仅能解决复杂的勘探开发问题,而且能及时发现和有效地保护油气层,是解决高压、高渗、高产能油气资源的一种有效的技术,也是提高产量,降低成本,提高勘探开发综合效益的技术。 ( ) 23.起钻不按规定及时灌注钻井液是造成井喷的直接原因之一。 ( ) 24.多分支井是指在一口主井眼的底部钻出两口或多口进入油气藏的分支井眼(一级井眼),不能再从一级井眼中钻出子井眼的钻井方式。()25.小井眼井可以定义为为了降低钻井成本,钻井时90%以上的井段用小于Φ177.8钻头钻成所形成的比常规井径更小的井眼。()

操作系统期末试题含答案

操作系统期末考试(A ) 1、文件系统的主要组成部分是( A、文件控制块及文件 C、系统文件及用户文件 D ) B、 D、 I/O 文件及块设备文件 文件及管理文件的软件 2、实现进程互斥可采用的方法 A、中断 B、查询 C、开锁和关 D、按键处理 3、某页式管理系统地址寄存器的9 位表示页内地址,则页面大小为( B A 、 1024 字节B、 512 字节C、 1024K D、512K 4、串联文件适合于B)存取 A、直接 B、顺序 C、索引 D、随机 5、进程的同步与互斥是由于程序的D )引起的 A、顺序执行 B 、长短不同C、信号量 D 、并发执行 6、信号量的值 ( D ) A 、总是B、总是为负 C、总是为 0 D 、可以为负整数 7、多道程序的实质是(B) A 、程序的顺序执行B、程序的并发执行 C、多个处理机同时执行 D、用户程序和系统程序交叉执行 8、虚拟存储器最基本的特征是( A ) A 、从逻辑上扩充内存容量B、提高内存利用率C、驻留性D、固定性 9、飞机定票系统是一个( A ) A 、实时系统B、批处理系统 C、通用系统D、分时系统 10、操作系统中,被调度和分派资源的基本单位,并可独立执行的实体 A、线程 B 、程序C、进程D、指令 、名词解释(每 3 分,共 15 分) 1.死锁 : 多个进程因竞争资源而造成的一种僵局,若无外力作用,这些进程将永远不能再向前推进 2.原子操作 : 一个操作中的所有动作要么全做,要么全不做,它是一个不可分割的操作。 3.临界区 : 在每个进程中访问临界资源的那段代码 4.虚拟存储器 : 是指仅把作业的一部分装入内存便可运行作业的存储器系统。也即是具有请求调入功能和 置换功能,能从逻辑上进行扩充的一种存储系统。 5.文件系统 : 是指含有大量的文件及其属性的说明,对文件进行操纵和管理的软件,以及向用户提供的使 用文件的接口等的集合 三、判断改错题(判断正误,并改正错误,每小题 2分,共 20 分) 1、通道是通过通道程序来对 I/O 设备进行控制的。( T) 2、请求页式管理系统中,既可以减少外零头,又可以减少内零头。() 3、操作系统中系统调用越多,系统功能就越强,用户使用越复杂。() 4、一个进程可以挂起自已,也可以激活自已。( T ) 5、虚拟存储器的最大容量是由磁盘空间决定的。() 6、单级文件目录可以解决文件的重名问题。() 7、进程调度只有一种方式:剥夺方式。() 8、程序的顺度执行具有顺序性,封闭性和不可再现性。() 9、并行是指两个或多个事件在同一时间间隔内发生,而并发性是指两个或多个事件在同一时刻发生。() 10、进程控制一般都由操作系统内核来实现。() 四、简答题(每小题 5 分,共 25分) 3、简述死锁产生的原因及必要条件。 答:死锁是指多个进程因竞争资源而造成的一种僵局,若无外力作用,这些进程将永远不能再向前推进。 产生死锁的原因可归结为两点: ( 1)争资源。 ( 2)进程推进顺序非法。 在具备下述四个必要条件时,就会产生死锁。 1)互斥条件 2)请求和保持 3)不剥夺条件 4)环路等待条 4、什么是多道程序技术,它带来了什么好处?答:多道程序技术即是指在内存中存放多道作业,运行结 束或出错,自动调度内存中另一道作业运行。多道程序主要优点如下: (1)资源利用率高。由于内存中装入了多道程序,使它们共享资源,保持系统资源处于忙碌状态,从而使各种资源得以充分利用。

计算机操作系统期末测试题及答案解析(两套)

操作系统期末试题1 一、单项选择题(每题2分,共20分) 1.在操作系统中,进程的最基本的特征是( A )。 A. 动态性和并发性 B.顺序性和可再现性 C.与程序的对应性 D.执行过程的封闭性 2.为了使系统中各部分资源得到均衡使用,就必须选择对资源需求不同的作业进行合理搭配。这项工作是由( A )完成的。 A.作业调度 B. 进程调度 C.用户自己D.管理人员 3.虚拟存储器给用户提供了特大的地址空间。实际上它是( C )。 A. 扩大物理内存容量 B.扩大物理外存容量 C. 扩大逻辑内存容量 D.扩大逻辑外存容量 4.引入缓冲技术的主要目的是( C )。 A. 改善用户编程环境 B.提高CPU的处理速度 C.提高CPU与设备之间的并行程度 D.降低计算机的硬件成本 5.在微内核结构的现代操作系统中,进程( A )。 A. 只是资源分配的单位 B.只是调度运行的单位 C.既是资源分配的单位,又是调度运行的单位 D.失去作用 6. (A)没有多道程序设计的特点。 A.dos B.unix B.windows D.os/2 7. 下列四个操作系统中,是分时系统的为(C)。 A.cp/m B.ms-dos C.unix D.windows nt 8. 在分时系统中,时间片一定,(B),响应时间越长。 A.内存越多 B.用户数越多 C.后备队列 D.用户数越少 9. 用户要在程序一级获得系统帮助,必须通过(D)。 A.进程调度 B.作业调度 C.键盘命令 D.系统调用 10. 操作系统是一组(C)。 A.文件管理程序 B.中断处理程序 C.资源管理程序 D.设备管理程序 二、判断题(选择你认为正确的叙述划√,认为错误的划×并说明原因。每题2分,共10分)

钻井工程试题及答案

第三章钻井液 一、选择题 二、填空题 三、名词解释 1.说明瞬时滤失、动滤失、静滤失各自的涵义。 答: 钻头刚破碎井底岩石形成井眼的一瞬间,钻井液便迅速向地层孔隙渗透。在滤饼尚未形成的一段时间内的滤失称为瞬时滤失。 钻井液在井内循环流动时的滤失过程称为动滤失。 钻井液在静止循环时的滤失称为静滤失。 四、简答题 2.钻井液与钻井工程关系如何?钻井液有哪些功用? 答:钻井液与钻井工程关系密切。钻井液在钻进时用来清洗井底并把岩屑携带到地面、维持钻井操作正常进行。 钻井液具有以下功用: (1)从井底清除岩屑; (2)冷却和润滑钻头及钻柱 (3)造壁性能 (4)控制地层压力 (5)从所钻地层获得资料 3.说明钻井液的一般组成及钻井液的分类。 答: 钻井液的一般组成: (1)液相:是钻井液的连续相,可以是油或水。 (2)活性固相:包括人为加入的商业膨润土、地层进入的造浆粘土 和有机膨润土。 (3)惰性固相:包括钻屑和加重材料。 (4)各种钻井液添加剂:可以利用不同类型的添加剂配制性能各异 的钻井液,并对钻井液性能进行调整。 钻井液的分类: (1)不分散体系。包括开钻钻井液、天然钻井液及轻度处理的钻井液。 (2)分散体系。在可能出现难题的深井条件下,钻井液常被分散,特别使用铁铬木

质素磺酸盐或其他类似产品,这些类似产品属于有效的反絮凝剂和降失水剂。 另外,常加入特殊化学剂以维护特殊的钻井液性能。 (3)钙处理体系。双价离子如钙、镁等常被加入钻井液中以抑制地层中粘土和页岩的膨胀和分散。 (4)聚合物体系。在絮凝钻井液中,一般使用长链、高分子量化学剂能够有效的增加粘度,降低失水和稳定性能。 (5)低固相体系。属于此类体系的钻井液中,其所含固相的类型和数量都加以控制,这样可以明显的提高机械钻速。 (6)饱和盐水体系。 (7)完井修井液体系。用来最大限度的降低地层损害。它与酸有相容性,并可用作压裂液(酸溶),具有抑制粘土膨胀保护储层的作用。此体系由经高度处理的 钻井液(封隔液)和混合盐或清洁盐水组成。 (8)油基钻井液体系。常用于高温井、深井及易出现卡钻和井眼稳定性差的井以及许多特种地区。 (9)空气、雾、泡沫和气体体系。 4.大多数钻井液属什么流体类型,写出其流变方程。 答: 大多数钻井液属于塑形流型,其流变方程为: г-г0=μpv dv/dx г——切应力 г0——动切应力 μpv——塑形粘度 5.说明静切力、动切力、表观粘度、塑性粘度的物理意义。怎样调整这些参数。 答: 静切力是使钻井液开始流动所需的最低切应力,它是钻井液静止时单位面积上所形成的连续空间网架结构强度的量度。调整钻井液中粘土的含量及分散度,加无机电解质调整粘土颗粒间的静电斥力和水化膜斥力,加降粘剂等措施可调整钻井液静切应力。 动切力是延长流变曲线直线段与切应力轴相交得的假像值,反映钻井液处于层流状态时钻井液中网状结构强度的量度。调整方法与静切力相同。 表观粘度又称视粘度或有效粘度,它是在某一流速梯度下剪切应力与相应流速梯度的比值。钻井液在不同流速下的表观粘度是不同的。表观粘度的调整采用调节动切力和塑形粘度的办法。 塑性粘度是塑性流体流变曲线段斜率的倒数。它不随剪切力而变化,相当于体系中结构拆散速度等于恢复速度时的粘度。塑性粘度由钻井液中的固相含量、固相颗粒的形状和分散程度、表面润滑性及液相本身的粘度等因素决定。体系中塑性粘度太高需要降低时,一般使用固控设备降低固相含量,增加体系的抑制性,降低活性固相的分散度;如急需降

操作系统期末复习考点总结

第一章 (1)操作系统(Operating System):操作系统是一组控制和管理计算机硬件和软件资源,合理地对各类作业进行调度,以及方便用户使用的程序的集合。 (2)操作系统最基本的特征:共享性、并发性 (3)操作系统的特性:○1并发性:两个或多个事件在同一事件间隔发生;○2共享性:系统中的资源可供内存中多个并发进程共同使用,也称为资源共享或资源复用;○3虚拟技术:把一个物理实体变成若干个逻辑上的对应物;○4异步性:进程是以人们不可预知的速度,停停走走地向前推进的。 (4)OS的主要任务:为多道程序的运行提供良好的环境,保证多道程序能有条不紊地、高效地运行,并能最大程度地提高系统中各种资源的利用率和方便用户的使用。 (5)OS的功能:(1)处理机管理:对处理机进行分配,并对其运行进行有效的控制和管理; (6)存储器管理:内存分配、内存保护、地址映射(变换)、内存扩充;(3)设备管理:(4)文件管理:文件的存储空间管理、目录管理、文件的读/写管理和保护;(5)操作系统和用户之间的接口:命令接口、程序接口(系统调用组成)、图形接口(6)面向网络的服务功能 (7)○1多道批处理系统(吞吐量、周转时间):多道性、宏观上并发、微观上串行、无序性、调度性;○2分时系统(响应时间):多路性、交互性、独占性、及时性;○3实时系统(实时性和可靠性): (8)多道程序设计技术是操作系统形成的标志 (9)分时系统:响应时间= 用户数*时间片,时间片=切换时间+处理时间 (10)实时系统:系统能及时响应外部事件的请求,在规定的时间内完成对该事件的处理,并控制所有实时任务协调一致地运行。 (11)并发:两个或多个事件在同一时间间隔发生;并行:两个或多个事件在同一时刻发生。 (12)虚拟:通过某种技术把一个物理实体变为若干个逻辑上的对应物。 (13)微内核OS结构:能实现OS核心功能的小型内核,并非一个完整的OS,与OS的服务进程(如文件服务器、作业服务器等)共同构成OS。 基本原理: 只有最基本的操作系统功能才能放在内核中。不是最基本的服务和应用程序在微内核之上构造,并在用户模式下执行。

钻井工程习题总结

四、计算题(本大题共3小题,共23 分) 1、某定向井身a 点处井深800米,??=31515a =,φαa ;b 点处井深835米,??=58.17b =,φαb 。试求:(结果单位:度/30米)(6分) 1)井斜变化率a K 。(1分) 2)方位变化率φK 。(1分) 3)全角变化量β。(2分) 4)全角变化率K 。(2分) 参考答案:1)m L K a b 30/4.230800835158.1730?=?--=??-= ααα 2)m L K a b 30/7.26530800835315530?-=?--=??-= φφφ 3)?=+=+=4.1628.17152 b a c ααα m L L K c 30/2.1414.16sin )7.265(4.2sin 2222?=??-+=?? ? ????+??? ????=αφα 4)?=??=??=7.16435)30/2.141(L K β 2.某井用密度为l.23克/厘米3的泥浆钻至井深2975米发生天然气溢流。关井套压为2.5兆帕。关井立压力1.4兆帕。忽略气柱重量和高度对井内压力的影响。求关井条件下气柱上升到距井底1250米处时的井底压力和井口压力各为多少?(8分) 参考答案: (1) 刚发生溢流时的井底天然气压力: MPa P p 26.374.110297523.18.93=+???=- (2) 由于关井,天然气在体积不膨胀的条件下上升,当天然气上升到1250m 时,仍保持 其在井底时的压力37.26MPa 不变。此时, 井底压力:MPa L P P m p b 05.58172523.1108.926.37108.9313=???+=?+=--ρ 井口套压:MPa L P P p a 99.42125023.1108.905.5823.1108.9323=???-=???-=-- 3、某井在某地层作钻速实验,其数据如下: 实验点 钻压(千牛)转速(转/分)钻时(秒/米) 钻速(米/时) 1 150 65 750 2 120 50 1174 3 120 80 840 4 180 80 540 5 180 50 750 6 150 65 788

2020年上半年工作总结(WORD版)

2019-2020学年第二学期工作总结 本学期在教育局正确领导下,在各位领导、同事的帮助配合下,按照中心统一安排部署,顺利完成了各项工作任务。下面总结如下: 一、本学期工作的完成情况 1、强化学习、提升能力 在学期之初,面对来势汹汹的新冠疫情每个人心里都非常紧张,此时教育局安排我们进入居民小区进行执勤,同时要普及科学防疫知识,做好小区居民的尊法守法宣传工作,为此我在这一段时间认真学习了《中华人民共和国传染病防治法》、《突发卫生事件应急条例》,通过学习掌握了传染病防治法律相关知识,并在小区值勤、扶贫工作中加强宣传引导,提升了小区居民和部分贫困户的法律意识;由于受到新冠疫情影响,线上教学成了常态,做为一名教研员我认为必须要充实自己的线上教学知识,为此我充分利用去年到北京进修学校学习时的资源,积极与部分名校名师沟通联系,认真参加教育部、教师进修学校、部分大学举办的网上研修活动。2月15日参加了奥鹏远程教育中心校本研修平台举办的“2020在线教研-校本培训直播活动”,从日常的区域大型研修、区域学科教研和校内常态教研三个层级,讲解网络教研的场景应用,以及如何利用校本平台实现疫情期间线上集体备课、观课议

课等活动;5月13日参加了教师进修学校教育集团举办的“如何用专题引导学生自主学习”为主题的第八次线上教学研讨会。通过学习加强了线上教学的认识,掌握了一些线上教学平台使用方法。 2、深入校园、落实督导 为做好疫情防控和开学工作,深入白山中学、红珏中学、育红中学、新星中学等初中学校督导检查毕业班复学后教育教学管理工作,在督导中先后深入课堂实地查看各校开学后的毕业班教学和疫情防控工作,并与学校班子成员座谈,详细了解各校毕业班线上线下教学衔接工作、初三备考组织实施情况,对各校开展教学工作中存在的困难和问题提出指导建议,为我县毕业班学生顺利开学、复习工作的扎实开展奠定了基础。 3、小区值勤、防疫新冠 疫情就是命令,防控就是责任,为全面做好棉六小区、中原小区、新河中心疫情防控工作。2月3日起,先后在各小区门口执勤点认真执勤,全面做好出入小区(校区)居民体温监测、小区(校区)院落卫生消杀、疫情风险人员摸底排查、防疫知识宣传等工作,为打赢疫情防控阻击战打下坚实基础。 4、有序推进、保障教学 一是做好线上直播课优质课的评选活动。配合电教处完

西南交通大学操作系统期末复习总结

1 org 07c00h ;这是告诉编译器将这段程序加载到内存偏移地址0x7c00处 mov ax,cs mov ds,ax mov es,ax call DispStr jmp $ DispStr: mov ax,BootMessage mov bp,ax mov cx,16 mov ax,01301h mov bx,000ch mov dl,0 int 10h ret BootMessage: db "hello,os world!" times 510-($-$$) db 0 dw 0xaa55 这是第一章的程序,从中可以看出起始位置是07c00h,程序的结束标志是0xaa55。 $表示当前行被汇编后的地址。 $$表示一个节的开始处被汇编后的地址。 那么$-$$表示本行距离程序开始处的相对距离。 1.对于程序的说明 实际上以上程序只是一个引导扇区(Boot Sector),不是完整的OS,当计算机电源被打开时,它会加电自检(POST),然后寻找启动盘,如果是从软盘启动,计算机就会检查软盘的0面0磁道1扇区,如果发现它以0xaa55结束,并且包含了少于512字节的执行码,那么BIOS 认为它是一个引导扇区。 一旦发现了引导扇区,就将这512字节放入内存地址0000:7c00处,然后跳转并且移交控制器。 2.制作软盘过程 本身这段程序是boot.asm,是汇编程序,然后使用工具nasm将其转换为boot.bin, 然后使用软盘绝对扇区读写工具将这个文件写到一张空白软盘的第一个扇区。 (.bin文件的说明:*.bin是Foxbase定义的一种特殊的可执行文件,它同DOS的.com文件类似,区别在于.com文件是从100H开始运行的,而.bin从0开始,.bin的调用参数保存在DS:BX中。如果在Foxbase中,你可以使用Call命令调用。如果你在VFP中,将无法直接调用,只能使用Debug反汇编,分析其功能,然后重新编写.) 3.方括号[]的使用 在NASM中,任何不被方括号[]括起来的标签或变量名都被认为是地址,访问标签中的内容必须使用[]。 3.1认识保护模式

石油大学钻井工程试题

第一章 4 ?岩石的硬度也就是岩石的抗压强度( f )。 1?一般岩石的弹性常数随围压的增加而增大。(f ) 2 ?在动载作用下岩石呈现出的强度比静载作用下要大的多。(f ) 1 ?什么是井底压差? 答:井内液柱压力与地层孔隙压力之差。 3. d e指数法预报异常地层压力的原理 答:d e指数法预报异常地层压力的原理是根据机械钻速在正常、异常地层压力的差别,通过计算取得。叙述主要公式及公式中d e指数与机械钻速之间的关系。 5 .岩石的硬度与抗压强度有何区别? 答:硬度与抗压强度有联系,但又有很大区别。硬度只是固体表面的局部对另一物体压人或侵入时的阻力,而抗压强度则是固体抵抗固体整体破坏时的阻力。 6 ?什么是各向压缩效应? 答:在三轴应力试验中,如果岩石是干的或者不渗透的,或孔隙度小且孔隙中不存在液体或 者气体时,增大围压则一方面增大岩石的强度,另一方面也增大岩石的塑性,这两方面的作 用统称为“各向压缩效应”。 第二章7 3 ?牙轮钻头是以(a)作用为主破岩的钻头。 a.冲击 b. 切削e. 研磨 4. 已知钻具在泥浆中的总重量为100吨,钻进时需给钻头加压 20吨,钻进时大钩负荷应该是80 吨。 4.某井井深2000米,地层压力27.5Mpa,井内钻井液密度为1.18 g/cm3 ,井底压差为-4.35 Mpa。 1 ?阐述牙轮钻头的工作原理。 1)牙轮钻头依靠牙齿破碎演示,固定在牙轮上的牙齿随钻头一起绕钻头轴线作顺时针方向的旋转运动,成为公转。(3分) 2)同时,牙齿绕牙轮轴线作逆时针方向的旋转成为自转。钻头在井底的纵向振动,与静载 压入力一起形成了钻头对地层演示的冲击、压碎作用。(2分) 3)剪切作用由牙轮钻头的超顶、复锥和移轴三种结构特点引起。(2分) 1. 某直井钻至井深L=1600m井底地层压力16.4MPa,泥浆密度p n=1.1g/cm 3,钻进钻压为 W=65kN钻柱组成为5”钻杆(外径为d op=127mm内径为d ip=110mm长L p=1500m) + 7” 钻铤 (外径为d oc=177.8mm内径为d ie = 75mm长L c=100m)组成,已知钻柱的密度为p 3 =7.85g/em 求解: 1)计算钻柱轴向力零点井深。(4分) 2)画出钻柱轴向力分布示意图。(2分) 3)钻进时井底压差为多少?(2分) 解: 1)计算钻柱轴向力零点井深 计算钻铤浮重: Le=S nWmax/qcKbco na p92

工作总结word模版

行政部年终工作总结

2010年,在集团公司的正确领导及大力支持下,在×××公司领导班子的正确指导下,面对激烈的市场竞争之严峻形势,行政部紧紧围绕管理、服务、学习、招聘等工作重点,注重发挥行政部承上启下、联系左右、协调各方的中心枢纽作用,为公司圆满完成年度各项目标任务作出了积极贡献。现将一年来的工作情况汇报如下: 一、加强基础管理,创造良好工作环境 为领导和员工创造一个良好的工作环境是行政部重要工作内容之一。一年来,行政部结合工作实际,认真履行工作职责,加强与其他部门的协调与沟通,使行政部基础管理工作基本实现了规范化,相关工作达到了优质、高效,为公司各项工作的开展创造了良好条件。 如:做到了员工人事档案、培训档案、合同档案、公章管理等工作的清晰明确,严格规范;做到了收、发文件的准确及时,并对领导批示的公文做到了及时处理,从不拖拉;做到了办公耗材管控及办公设备维护、保养、日常行政业务结算和报销等工作的正常有序。成功组织了室外文化拓展、羽毛球比赛、读书征文、管理学、市场营销学培训课、员工健康体检等活动,做到了活动之前有准备,活动过程有指导,活动之后有成果,受到一致好评;行政部在接人待物、人事管理、优化办公环境、保证办公秩序等方面做到了尽职尽责,为公司树立了良好形象,起到了窗口作用。 二、加强服务,树立良好风气 行政部工作的核心就是搞好“三个服务”,即为领导服务、为员工服务、为广场商户服务。一年来,我们围绕中心工作,在服务工作方面做到了以下三点: 1、变被动为主动。对公司工作的重点、难点和热点问题,力求考虑在前、服务在前。特别是行政部分管的食堂、仓库、采购、车辆、办公耗材管控及办公设备维护、保养等日常工作,工作有计划,落实有措施,完成有记录,做到了积极主动。日常工作及领导交办的临时性事物基本做到了及时处理及时反馈,当日事当日清。在协助配合其他部门工作上也坚持做到了积极热情不越位。 2、在工作计划中,每月都突出1-2个“重点”工作。做到工作有重点有创新,改变行政部工作等待领导来安排的习惯。 3、在创新与工作作风上有所突破。在工作思路、工作方法等方面不断改进和创新,适

计算机操作系统期末考试题及答案

计算机操作系统期末考试题及答案 10.按逻辑结构划分,文件主要有两类:(记录式文件 )和流式文件。 A 、记录式文件 B 、网状文件 C 、索引文件 D 、流式文件 11.UNIX 中的文件系统采用(、流式文件 一、单项选择题(每题1分,共20分) 1.操作系统的发展过程是( C )。 ) A 、网状文件 B 、记录式文件 C 、索引文件 D 、流式文件 A 、原始操作系统,管理程序,操作系统 B 、原始操作系统,操作系统,管理程序 C 、管理程序,原始操作系统,操作系统 D 、管理程序,操作系统,原始操作系统 2.用户程序中的输入、输出操作实际上是由( B 12.文件系统的主要目的是( A 、实现对文件的按名存取 )。 B 、实现虚拟存贮器 C 、提高外围设备的输入输出速度 D 、用于存贮系统文档 13.文件系统中用( A 、堆栈结构 )管理文件。 )完成。 B 、指针 C 、页表 D 、目录 A 、程序设计语言 C 、编译系统 B 、操作系统 14.为了允许不同用户的文件具有相同的文件名,通常在文件系统中采用( )。 D 、标准库程序 A 、重名翻译 15.在多进程的并发系统中,肯定不会因竞争( A 、打印机 B 、磁带机 C 、 CPU D 、磁盘 16.一种既有利于短小作业又兼顾到长作业的作业调度算法是( B 、多级目录 C 、约定 D 、文件名 3.进程调度的对象和任务分别是( C )。 )而产生死锁。 A 、作业,从就绪队列中按一定的调度策略选择一个进程占用CPU B 、进程,从后备作业队列中按调度策略选择一个作业占用CPU C 、进程,从就绪队列中按一定的调度策略选择一个进程占用 C P U D 、作业,从后备作业队列中调度策略选择一个作业占用CPU 4.支持程序浮动的地址转换机制是( A 、动态重定位 ) )。 A 、先来先服务 B 、轮转 C 、最高响应比优先 D 、均衡调度 17.两个进程合作完成一个任务。在并发执行中,一个进程要等待其合作伙伴发来消息,或 A 、动态重定位 B 、段式地址转换 D 、静态重定位 者建立某个条件后再向前执行,这种制约性合作关系被称为进程的( )。 C 、页式地址转换 A 、互斥 B 、同步 C 、调度 D 、伙伴 5.在可变分区存储管理中,最优适应分配算法要求对空闲区表项按( C )进行排列。 18.当每类资源只有一个个体时,下列说法中不正确的是( )。 A 、地址从大到小 C 、尺寸从小到大 B 、地址从小到大 D 、尺寸从大到小 A 、有环必死锁 B 、死锁必有环 C 、有环不一定死锁 D 、被锁者一定全在环中 6.设计批处理多道系统时,首先要考虑的是(系统效率和吞吐 量 )。 19.数据文件存放在到存储介质上时,采用的逻辑组织形式是与( )有关的。 A 、灵活性和可适应性 C 、交互性和响应时间 B 、系统效率和吞吐量 D 、实时性和可靠性 A 、文件逻辑结构 B 、存储介质特性 D 、分配外设方式 C 、主存储器管理方式 7.当进程因时间片用完而让出处理机时,该进程应转变为( )状态。 20.在单处理器的多进程系统中,进程什么时候占用处理器和能占用多长时间,取决于 )。 A 、等待 8.文件的保密是指防止文件被( A 、篡改 B 、破坏 B 、就绪 C 、运行 D 、完成 ( )。 A 、进程相应的程序段的长度 C 、进程总共需要运行时间多少 二、填空题(每空2分,共20分) B 、进程自身和进程调度策略 D 、进程完成什么功能 C 、窃取 D 、删除 9.若系统中有五个并发进程涉及某个相同的变量 A ,则变量 A 的相关临界区是由( ) 临界区构成。 1.若信号量 S 的初值定义为 10,则在 S 上调用了 16次 P 操 作和 15次 V 操作后 S 的值应 A 、2个 B 、3个 C 、4个 D 、5个 该为( 9 )。

相关文档
相关文档 最新文档