文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术课程标准

数字电子技术课程标准

数字电子技术课程标准
数字电子技术课程标准

《数字电子技术》课程标准

一、课程简介

(一)课程性质

《数字电子技术》基础课程是电气工程及其自动化专业本科生在电子技术方面入门性质的技术基础课,具有自身的体系和很强的实践性。本课程通过对常用电子器件、数字电路及其系统的分析和设计的学习,使学生获得数字电子技术方面的基本知识、基本理论和基本技能,为深入学习数字电子技术及其在专业中的应用打好基础。本课程在第三学期开设,其前导课程是《高等数学》、《电路原理》、《模拟电子技术》,后续课程是《单片机接口技术》、《电气控制与PLC》等。

(二)课程任务

本课程的主要任务是使学生掌握数字电路与系统的工作原理和分析设计方法;学会使用标准的集成电路和高密度可编程逻辑器件,掌握数字系统的基本设计方法,为进一步学习各种超大规模集成电路的系统设计打下基础。

二、课程目标和能力培养

(一)总体目标

使学生掌握数字电子技术的基本原理、基本理论、基本知识,具有较强的实验技能,对学生进行电子设计能力训练,为学习后续专业课程准备必要的知识,并为今后从事有关实际工作奠定必要的基础。在学习中认识电子技术对现代科学技术重大影响和各种应用,了解并适当涉及正在发展的学科前沿。

(二)具体目标

1.知识目标

●掌握常用计数进制和常用BCD码;

●掌握逻辑函数及其化简;

●掌握TTL门电路、CMOS门电路的特点和常用参数;

●理解常用组合逻辑电路的原理,掌握其功能;

●理解JK触发器和D触发器的工作原理,掌握其逻辑功能;

●理解常用时序逻辑电路的原理,掌握其功能;

●掌握555集成定时器的工作原理和逻辑功能。

2.能力目标

●能正确使用各种类型的集成门电路,并能利用集成门电路制作

成一定功能的组合逻辑电路;

●能正确使用常用的中规模组合逻辑电路;

●会使用触发器、寄存器、移位寄存器和常用的中规模集成计数

器;

●能借助于仪器仪表,对小型数字系统的故障进行检测和维修;

3.素质目标

●专业与敬业精神

●养成诚实、守信、吃苦耐劳的品德;

●养成善于动脑,勤于思考,及时发现问题的学习习惯;

●养成踏实肯干、勤学好问的工作习惯;

●具有善于和客户沟通和公司工作人员共事的团队意识,能进行

良好的团队合作;

●养成爱护工具设备、保护环境良好习惯。

三、课程内容及实施

(一)理论教学

(二)实践教学

四、教学条件

(一)教学师资

(二)教材与参考资料

1.教材

(1)余孟尝主编.《数字电子技术基础简明教程》(第三版),高等教育出版社,2006年.

2.参考资料

(1)阎石主编.《数字电子技术基础》(第五版),高等教育出版社,2011年.

(2)康华光主编.《电子技术基础数字部分》(第四版),高等教育出版社,2008年.

(三)教学设备

(四)教学资源

如:精品课程网站、课程平台、多媒体课件、教学软件等。

五、考核方式

本课程考核成绩由过程考核和期末考试综合评定,其中:过程考核占30%;期末考试占70%。过程考核成绩根据学生学习此项学习任务的综合完成情况评定;期末考试采用闭卷考试形式,主要考核学生对理论知识的实际应用能力和综合设计能力。

六、其他说明

数字电子技术教学大纲

电子技术应用专业数字电子技术模块教学大纲 一、说明: 1、大纲的编写依据:“国务院关于大力推进职业教育改革与发展的决定”, 结合“教育部关于加快发展职业教育的意见”;根据“以服务为宗旨、以就业为导向”的指导思想,结合学院开展模块式一体化教学改革的实际情况;编写了“电子技术”模块教学大纲。 2、模块的性质和内容:“数字电子技术”模块是电子技术专业的专业基础课程。其任务是使学生具备从事本专业职业工种必须的电子通用技术基本知识、基本方法、基本技能,并为学生学习后续课程,提高全面素质,形成综合职业能力打下基础。“数字电子技术基础”模块是电子技术专业的先导模块。本模块教学项目有三个: 项目一:“三人表决器的逻辑电路设计与制作”;项目二:“一位十进制加法计算器电路设计与制作”项目三:“数字钟的电路设计与制作”。 3、模块的任务和要求:项目一“三人表决器的逻辑电路设计与制作”。主要任务是:学习逻辑代数、逻辑函数基本知识;常用逻辑门电路;组合逻辑门电路的设计方法。具体要求:掌握TTL、CMOS的识别与测试;掌握三人表决器逻辑电路的设计方法和制作技巧。项目二:“一位十进制加法计算器的逻辑电路设计与制作”。主要任务是:学习数制及其转换的基本知识;学习编码器、译码器、选示器的基本知识;学习半加器与全加器的基本知识。具体要求:理解编码器、译码器、驱动示器逻辑电路的工作原理;掌握一位十进制加法计算器的逻辑电路设计与制

作。项目三:“数字钟的设计与制作”。主要任务是:学习触发器的基本知识;学习分频电路的结构与工作原理;学习二十四进制、六十进制计数器的组成工作原理。具体要求:掌握集成触发器的测试;掌握555振荡器的制作与测试;掌握数字钟的安装与调试。 4、教学方法的应用:本模块采用项目教学法,每一项目安排多个任务,任务中有学习目标、工作任务、知识链接点、实验实习、技能训练、思考与练习。教师使用操作示范、演示讲解、多媒体等多种教学方法,学生在做中学、学中做,学会模仿、讨论、评析、竞争,激发学生的学习兴趣和热情,以提高教学效果。 5、模块的适用范围及对教师的要求:本模块适应应用电子技术专业、教学条件已在教学方案中说明。教师应具备电类本科毕业或电类中级以上职称。实训操作需一名辅助教师作准备。 6、建议选用教材或讲义及参考资料 主要教材:建议选用讲义 参考资料:《新编电子技术项目教程》李传珊主编 电子工业出版社 二、学时分配表

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电子技术实验教案

湖南工学院教案用纸 实验1基本门电路逻辑功能测试(验证性实验) 一、实验目的 1?熟悉基本门电路图形符号与功能; 2?掌握门电路的使用与功能测试方法; 3?熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00, 74LS20 , 74LS86,导 线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单 元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成 度高,抗干扰能力强。 1.74LS00 —四2输入与非门功能与引脚: 2. 74LS20 —双4输入与非门功能与引脚: 3. 74LS86 —四2输入异或门功能与引脚: 四、实验内容与步骤 1.74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔

动开关进行测试,结果记入自拟表格。 湖南工学院教案用纸

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B , C AB,用74LS00设计一个半加器电路; ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。2?在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 实验2组合逻辑电路的设计与调试(设计性综合实验) 一、实验目的 1?熟悉编码器、译码器、数据选择器等MSI的功能与使用; 2?进一步掌握组合电路的设计与测试方法; 3?学会用MSI实现简单逻辑函数。 二、实验设备与器材

《数字电子技术基础》 阎石编著_数字电路教案

数字电路教案 本课程理论课学时数为70,实验24学时。各章学时分配见下表:

第一章逻辑代数基础 【本周学时分配】 本周5学时。周二1~2节,周四3~5节。 【教学目的与基本要求】 1、掌握二进制数、二—十进制数(主要是8421 BCD码) 2、熟练掌握逻辑代数的若干基本公式和常用公式。 3、熟练掌握逻辑函数的几种表达形式。 【教学重点与教学难点】 本周教学重点: 1、绪论:重点讲述数字电路的基本特点、应用状况和课程主要内容。 2、逻辑代数的基本运算:重点讲述各种运算的运算规则、符号和表达式。 3、逻辑代数的基本公式和常用公式:重点讲述逻辑代数的基本公式与普通代数公式的区别,常用公式的应用背景。 4、逻辑函数的表示方法:重点讲述各种表示方法的特点和相互转换方法。 本周教学难点: 反演定理和对偶定理:注意两者之间的区别、应用背景和变换时应注意的问题。【教学内容与时间安排】 一、绪论(约0.5学时) 1、电子电路的分类。 2、数字电路的基本特点。 3、数字电路的基本应用。 4、本课程的主要内容; 5、本课程的学习方法和对学生的基本要求。 二、数制与码制(约1.5学时)(若前置课程已学,可作简单复习0.5学时) 1、几种不同进制(二、八、十、十六进制)。 2、几种不同进制相互转换。 3、码制(BCD码)。 三、逻辑代数 1、基本逻辑运算和复合逻辑运算:与、或、非运算是逻辑代数的基本运算;还可以形成其他复合运算,常用的是与非、或非、与或非、异或、同或运算。(约0.5学时) 2、常用公式(18个)(约0.5学时) 3、基本定理(代入定理、反演定理、对偶定理)(约0.5学时) 4、逻辑函数的概念及表示方法(约0.5学时) 5、逻辑函数各种表示方法间的转换:常用的转换包括:函数式←→真值表;函数式←→逻辑图(约1学时)

数字电子技术实验讲义(电13)

……………………………………………………………精品资料推荐………………………………………………… 数字电子技术 实验指导书 杨延宁编 延安大学信息学院 2015年5月

前言 数字电路是一门理论性和技术性都较强的技术基础课,实验是本课程的重要教学环节,必须十分重视。 本实验讲义是为通信工程专业学生作数字电路实验而设计和编写的。编写时考虑了本专业的现行计划学时、所用教材内容及后续课程内容等。本讲义编写了八个实验,每个实验计划用时180分钟。 一、数字电路实验目的 1、验证、巩固和补充本课程的理论知识,通过理论联系实际,进一步提高分析和解决问题的能力。 2、了解本课程常用仪器的基本原理、主要性能指标, 并能正确使用仪器及熟悉基本测量方法。 3、具有正确处理实验数据、分析实验结果、撰写实验报告的能力,培养严谨、实事求是的工作作风。 二、实验准备要求 实验准备包括多方面,如实验目的、要求、内容以及与实验内容有关的理论知识都要做到心中有数,并要写好预习报告。预习报告可以简明扼要地写一些要点,而不需要按照什么格式,只要自己能看懂就行。内容以逻辑图与电路图(连线图)为主,附以文字说明或必要的记录实验结果图表。在预习报告中要求将逻辑图与连线图同时画出,这是因为,只有逻辑图则不利于连接线路,而只有连线图则反映不出电路逻辑图。在实验过程中一旦出了问题,不便进行理论分析。特别当电路较复杂时还应将逻辑图与连线图结合起来。 三、数字电路实验中的常见故障及排除 数字电路实验过程的第一步,一般都是连接线路,当线路连接好后,就可以加电进行试验。若加电后电路不能按预期的逻辑功能正常工作,就说明电路有故障,产生故障的原因大致有以下几个方面:

数字电子技术基础教案

数字电子技术基础教案 太原工业学院 第1章逻辑代数基础

目的与要求: 熟练掌握基本逻辑运算和几种常用复合导出逻辑运算;熟练运用真值表、逻辑式、逻辑图来表示逻辑函数。 重点与难点: 重点:三种基本逻辑运算和几种导出逻辑运算;真值表、逻辑式、逻辑图之间的相互转换。难点:将真值表转换为逻辑式。 所谓数字电路,就是用0和1数字编码来表示和传输信息的系统,即信息数字化(时代)。 数字电路与传统的模拟电路比较,其突出的优点是:(如数字通 信系统)抗干扰能力强、保密性好、计算机自动控制、(数字测量 仪表)精度高、智能化、(集成电路)可靠性高、体积小等。 数字电子技术基础,是电子信息类各专业的主要技术基础课。 1、1概述 一、模拟量(时间、温度、压力、速度、流量):时间上和幅值上 连续变化的物理量; 模拟信号(正弦交流信号):表示模拟量的信号。 数字量:时间上和幅值上都不连续变化的物理量(工厂中生产的产品个数); 数字信号、数字电路。 数字电路中的数字信号 采用0、1两种数值(便于实现)(位bit 、拍) 0、1表示方法:电位型:电位高低(不归零型数字信号) 脉冲型:有无脉冲(归零型数字信号) 二、数制及其转换 由0、1数值引入二进制及其相关问题。 常用数制:举例:十进制、二进制(双)、七进制(星期)、 十二进制(打)等。 特点:基数:数制中所用数码的个数; 位权。 1. 十进制数 基数:10 位权:n 10 表达式:10)(N =(P2 式1-1)=i n m i i a 101 ?∑--= (1-1) 推广到任意进制R : 基数:R 位权:n R

表达式:R N )(=(P2 式1-2)=i n m i i R a ?∑--=1 (1-2) 2. 二进制数 表达式:2)(N =(P3 式1-3)=i n m i i a 21 ?∑--= (1-3) 位权:以K 为单位;按二进制思维(如1000个苹果问题); 例如:(1101.01)2= 0-16对应的二进制数 特点:信息密度低,引入八、十六进制。 3. 八进制、十六进制 八进制: 基数:8(0-7) 位权:n 8 表达式:8)(N == i n m i i a 81?∑--= ( 1-4) 十六进制: 基数:16(0-9,A ,B ,C ,D ,E ,F ) 位权:n 16 表达式:16)(N ==i n m i i a 161?∑--= 特点:和二进制有简单对应关系;信息密度高,便于书写。 4. 不同进制数的转换 ⑴ R →十:按位权展开,再按十进制运算规则运算。 例1-1、1-2、1-3(P4) ⑵ 十→R :分两步 整数部分:除R 取余,注意结束及结果; 小数部分:乘R 取整,注意精度及结果; 结果合并: ⑶ R=2k 进制之间的转换 二?八:3位?1位, 二?十六:4位?1位, 八?十六:以二进制为过度, 5. 进制的另一种表示方法: B (inary )----二; H(exadecimal)----十六; D(ecimal)----十; O----八 三、二—十进制代码(BCD 代码)

数字电子技术基础第五版

数字电子技术基础第五版习题解答: 本书是为配合清华大学电子学教研组编、阎石主编的《数字电子技术基础》(第五版)教材的使用而编写的习题解答。书中除包含有《数字电子技术基础》(第五版)全部习题的详细解答以外,还含有各章习题的分类以及每种类型题目的解题方法和步骤等内容。 数字电子技术基础(第5版): 数字电子技术基础(第5版)》是2006年高等教育出版社出版的图书,作者是阎石、清华大学电子学教研组。 内容简介: 本书是普通高等教育“十五”国家级规划教材。本书以前各版曾分别获得北京市教育教学成果一等奖、国家教委优秀教材一等奖、国家级优秀教材奖。 新版教材是在基本保持第四版教材内容、理论体系和风格的基础上,按照教育部2004年修订的“数字电子技术基础课程教学基本要求”修订而成的。本次修订除改写了部分章节外,还增加了硬件描述语言和EDA软件应用的基础知识。此外,还在多数小节后面增设了复习思考题。为了便于教学,也为了便于读者今后阅读外文教材和使用外文版的EDA软件,书中采用了国际上流行的图形逻辑符号。 全书主要内容有:数制和码制、逻辑代数基础、门电路、组合逻辑电路、触发器、时序逻辑电路、半导体存储器、可编程逻辑器件、硬件描述语言、脉冲波形的产生和整形、数-模和模-数转换等共11章。

本书可作为电气信息类、仪器仪表类各专业的教科书,也可供其他相关理工科专业选用以及社会选者阅读。 作者简介: 阎石,清华大学教授、全国高等学校电子技术研究会理事长。1937年生人。1958年毕业于清华大学自动控制系,其后一直在清华大学从事电子技术的教学与科研工作。曾任国家教委工科本科基础课程教学指导委员会第一、二届委员,华北地区高等学校电子技术教学研究会理事长。1989年与童诗白教授等一起获得普通高等学校优秀教学成果国家级特等奖。主编的《数字电子技术基础》第二版获国家教委优秀教材一等奖,第三版获国家优秀教材奖,第四版获北京市教育教学成果一等奖。 主要著作有:《数字电子技术基础》第一、二、三、四版,高等教育出版社分别于1981年、1984年、1989年、1998年出版;《电子技术基础学习指导》,辽宁科技出版社,1985年出版;《数字电子电路》,中央电大出版社,1993年出版;《数字电子技术荩础(第四版)教师手册》,高等教育出版社,2003年出版;《帮你学数字电子技术基础》,高等教育出版社,2004年出版。

数字电子技术训练讲义doc - 深圳职业技术学院

《数字电子技术训练》讲义 深圳职业技术学院 工业中心电子技术基础教研室编印 2006年1月

实训一 信号灯的逻辑控制 一、 实训目的 1.了解逻辑控制的概念 2.掌握表示逻辑控制的基本方法 二、 实训设备与器件 发光二极管、限流电阻、继电器两个、直流电源、导线若干 三、 实训电路与说明 图1.1为实训电路图。这是一个楼房照明灯的控制电路。设A 、B 分别代表上、下楼层的两个开关,发光二极管代表照明灯。在楼上按下开关A ,可以将照明灯打开,在楼下闭合开关B ,又可以将灯关掉;反过来,也可以在楼下开灯,楼上关灯。 四、 实训内容与步骤 (1)连接电路 表1.1 按图1.1连接好电路,注意JA 、JB 两个继电器的开关不要接错。 (2)试验开关和发光二极管的逻辑关系 接通电源,分别将开关A 、B 按表1.1的要求接通或者断开,观察发光二极管F 的亮灭情况,并填入表1.1中。 五、 实训结论与分析 通过上述实训,可做如下总结: (1)实训图中,JA 和JB 分别代表继电器的两个线圈,JA K1、JB K1代表继电器的常开触点,JA K2、JB K2代表继电器的常闭触点。在实训图所示的状态下(开关A 、B 均断开),由于没有通路给发光二极管供电,所以发光二极管灭;开关A 闭合,继电器线圈JA 通电,其常开触点JA K1闭合,常闭触点JA K2断开,JB K1 、JB K2则维持原来状态,此时图1.1最上面的一条电路连通,通过电源给发光二极管供电,发光二极管亮。同样道理,如果只闭合开关B ,也会给发光二极管构成通路使之点亮;当开关A 、B 均闭合时,由于没有通路,所以发光二极管灭,读者可自行分析。 (2)发光二极管F 的状态,我们称为输出,是由开关A 、B 来决定的,开关A 、B 称为输入。输出和输入是一种逻辑控制电路,而且输入量和输出量都只分别对应两种状态。 (3)从试验结果可以看出,当A 、B 同时闭合,或者同时断开,即处于相同状态时,二极管灭;相反,当A 、B 处于不同状态时,发光二极管点亮。如果定义开关闭合和灯亮为逻辑“1”,定义开关断开和发光二极管不亮为逻辑“0”,则A 、B 、F 都可用两种逻辑状态“1”、 图1.1 照明灯的逻辑控制电路 开关A 开关B 发光二极管F 断开 断开 闭合 闭合 断开 闭合 断开 闭合

数字电子技术基础习题答案

数字电子技术基础习题答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n2 4. 逻辑代数卡诺图 5.) (D C B A F ) (D C B A F+ =' 6.) )( (C B D C B A F 7. 代数法卡诺图8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F= 1⊙B AB F 2 B A F+ = 3 1.5 A B L 0 0 1 0 1 0 1 0 0 1 1 1 1.6 C L=

1.7 AB C B A BC Y 习题 1.1 当0000 1 2 A A A ,7 A 到3 A 有1个不为0时,就可以 被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C 0 1.3略 1.4 (1) )(B A D C F )(1 ) )((1B A D C F ++=' (2) ) (B A B A F )(2 ) )((2B A B A F ++=' (3) E D C B A F 3 D E C AB F =' 3 (4) ) ()(4D A B A C E A F )( ) )()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L (2) D B C B D C A L (3) AD L (4) E ABCD L (5) 0 L 1.7 C B A B C A C AB ABC C B A L ),,( 1.8(1) ABD D A C F 1 (2) BC AB AC F 2 (3) C A B A B A F 3 (有多个答案) (4) C B D C AB C A C D F +++=4 (5) C B A ABD C B A D B A F 5 (6) 1 6 F 1.9 (1) AD D C B B A F 1 (2) B A A C F 2 (3) D A D B C B F 3 (4) B C F 4

最新数字电子技术基础教材第四章答案

习题4 4-1 分析图P4-1所示的各组合电路,写出输出函数表达式,列出真值表,说明电路的逻辑功能。 解:图(a ):1F AB =;2 F A B =;3F AB = 真值表如下表所示: A B 1F 2F 3F 0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 其功能为一位比较器。A>B 时,11F =;A=B 时,21F =;A

功能:一位半加器,1F 为本位和,2F 为进位。 图(c ):1(0,3,5,6)(1,2,4,7)F M m = =∑∏ 2(0,1,2,4)(3,5,6,7)F M m ==∑∏ 真值表如下表所示: 功能:一位全加器,1F 为本位和,2F 为本位向高位的进位。 图(d ):1F AB =;2 F A B =;3F AB = 功能:为一位比较器,AB 时,3F =1 4-2 分析图P4-2所示的组合电路,写出输出函数表达式,列出真值表,指出该电路完成的逻辑功能。

解:该电路的输出逻辑函数表达式为: 100101102103F A A x A A x A A x A A x =+++ 因此该电路是一个四选一数据选择器,其真值表如下表所示: 1A 0A F 0 0 0x 0 1 1x 1 0 2x 1 1 3x 4-3 图P4-3是一个受M 控制的代码转换电路,当M =1时,完成4为二进制码至格雷码的转换;当M =0时,完成4为格雷码至二进制的转换。试分别写出0Y ,1Y ,2Y ,3Y 的逻辑函数的表达式,并列出真值表,说明该电路的工作原理。

最新数字电子技术基础电子教案——第5章时序逻辑电路.docx

第 5 章时序逻辑电路 5.1时序逻辑电路的基本概念 1.时序逻辑电路的结构及特点 时序逻辑电路在任何时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关,触发器就是最简单的时序逻辑电路,时序逻辑电路中必须含有存 储电路。时序电路的基本结构如图 5.1 所示,它由组合电路和存储电路两部分 组成。 图 5.1时序逻辑电路框图 时序逻辑电路具有以下特点: (1)时序逻辑电路通常包含组合电路和存储电路两个组成部分,而存储电路 要记忆给定时刻前的输入输出信号,是必不可少的。 (2)时序逻辑电路中存在反馈,存储电路的输出状态必须反馈到组合电路的 输入端,与输入信号一起,共同决定组合逻辑电路的输出。 2.时序逻辑电路的分类 ( 1)按时钟输入方式 时序电路按照时钟输入方式分为同步时序电路和异步时序电路两大类。同步时序电路中,各触发器受同一时钟控制,其状态转换与所加的时钟脉冲信号都是同步的;异步时序电路中,各触发器的时钟不同,电路状态的转换有先有后。同 步时序电路较复杂,其速度高于异步时序电路。 ( 2)按输出信号的特点 根据输出信号的特点可将时序电路分为米里(Mealy)型和摩尔(Moore)型两类。米里型电路的外部输出 Z 既与触发器的状态 Q n有关,又与外部输入 X 有

关。而摩尔型电路的外部输出Z 仅与触发器的状态Q n有关,而与外部输入X 无关。 ( 3)按逻辑功能 时序逻辑电路按逻辑功能可划分为寄存器、锁存器、移位寄存器、计数器和节拍发生器等。 3.时序逻辑电路的逻辑功能描述方法 描述一个时序电路的逻辑功能可以采用逻辑方程组(驱动方程、输出方程、 状态方程)、状态表、状态图、时序图等方法。这些方法可以相互转换,而且 都是分析和设计时序电路的基本工具。 5.2时序逻辑电路的分析方法和设计方法 1.时序逻辑电路的分析步骤 (1)首先确定是同步还是异步。若是异步,须写出各触发器的时钟方程。 (2)写驱动方程。 (3)写状态方程(或次态方程)。 (4)写输出方程。若电路由外部输出,要写出这些输出的逻辑表达式,即输 出方程。 (5)列状态表 (6)画状态图和时序图。 (7)检查电路能否自启动并说明其逻辑功能。 5.2.1同步时序逻辑电路的设计方法 1.同步时序逻辑电路的设计步骤 设计同步时序电路的一般过程如图 5.10 所示。 图 5.10同步时序电路的设计过程

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D

数字电子技术教案word版

第一章数字逻辑概论 一、实施时间:第 1-2 周二、实施对象:电信、应物、电气 三、编写时间:1.5 四、课时数:6学时 五.目的要求: (一)教学目的与要求: 1、掌握常见的数制(如:十进制、二进制、八进制、十六进制)及其之间的相互转换; 2、掌握常见的代码(如:8421码、余三码、循环码)以及数制与代码之间的相互转换。 3、掌握二值逻辑变量与基本逻辑运算和逻辑函数及其表示方法(如:真值表、逻辑函数表达式、卡诺图、逻辑电路图、波形图)及其之间的相互转换。 4、掌握基本逻辑运算与、或、非。 5、掌握二进制数(包括正、负二进制数)的表示和补码、反码的运算。 六、主要内容: 1、常见的代码(如:8421码、余三码、循环码)以及数制与代码之间的相互转换。 2、掌握二值逻辑变量与基本逻辑运算和逻辑函数及其表示方法及其之间的相互转换。 3、掌握二进制数(包括正、负二进制数)的表示和补码、反码的运算。 七、本章重点和难点: 1、重点:(1)常见的代码(如:8421码、余三码、循环码、余三循环码)。 (2)数制与代码之间的相互转换,二值逻辑变量与基本逻辑运算和逻辑函数及其表示方法。 2、难点:二进制数(包括正、负二进制数)的表示法和补码的运算。 第一节数制与编码 一、实施时间:第 1 周二、实施对象:电信、应物、电气 三、编写时间:1.5 四、课时数:4学时 五.目的要求: 1、掌握常见的数制(如:十进制、二进制、八进制、十六进制)及其之间的相互转换; 2、掌握常见的代码(如:8421码、余三码、循环码)以及数制与代码之间的相互转换。 六、主要内容: 1、十进制、二进制、八进制、十六进制及其之间的相互转换; 2、二进制正负数的表示及运算。 3、8421码、余三码、循环码以及数制与代码之间的相互转换。 七、教学重点和难点: 8421码、余三码、循环码以及数制与代码之间的相互转换。

(完整word版)数字电子技术基础习题册答案7-11

第7章 时序逻辑电路 【7-1】已知时序逻辑电路如图7.1所示,假设触发器的初始状态均为0。 (1 )写出电路的状态方程和输出方程。 (2) 分别列出X =0和X =1两种情况下的状态转换表,说明其逻辑功能。 (3) 画出X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形。 1J 1K C11J 1K C1Q 1 Q 2 CP X Z 1 图7.1 解: 1.电路的状态方程和输出方程 n 1n 2n 11n 1Q Q Q X Q +=+ n 2 n 11n 2Q Q Q ⊕=+ CP Q Q Z 21= 2 .分别列出X =0和X =1两种情况下的状态转换表,见题表7.1所示。逻辑功能为 当X =0时,为2位二进制减法计数器;当X =1时,为3进制减法计数器。 3.X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形如图7.1(b)所示。 题表7.1 Q Q Z 图7.1(b) 【7-2】电路如图7.2所示,假设初始状态Q a Q b Q c =000。 (1) 写出驱动方程、列出状态转换表、画出完整的状态转换图。 (2) 试分析该电路构成的是几进制的计数器。 Q c 图7.2

解: 1.写出驱动方程 1a a ==K J n c n a b b Q Q K J ?== n b n a c Q Q J = n a c Q K = 2.写出状态方程 n a 1n a Q Q =+ n a n a n a n a n c n a 1n b Q Q Q Q Q Q Q +=+ n c n a n c n b n a 1n b Q Q Q Q Q Q +=+ 3.列出状态转换表见题表7.2,状态转换图如图7.2(b)所示。 图7.2(b) 表7.2状态转换表 CP n a n b c Q Q Q 0 0 0 0 1 0 0 1 2 0 1 0 3 0 1 1 4 1 0 0 5 1 0 1 6 0 0 0 n 4.由FF a 、FF b 和FF c 构成的是六进制的计数器。 【7-3】在二进制异步计数器中,请将正确的进位端或借位端(Q 或Q )填入下表 解: 题表7-3 下降沿触发 由 Q 端引出进位 由Q 端引出借位 触发方式 加法计数器 减法计数器上升沿触发 由Q 端引出进位 由Q 端引出借位 【7-4】电路如图7.4(a)所示,假设初始状态Q 2Q 1Q 0=000。 1. 试分析由FF 1和FF 0构成的是几进制计数器; 2. 说明整个电路为几进制计数器。列出状态转换表,画出完整的状态转换图和CP 作用下的波形图。

数字电路基础教案

第7章数字电路基础 【课题】 7.1 概述 【教学目的】 1.让学生了解数字电子技术对于认知数码世界的重要现实意义,培养学生学习该科目的浓厚兴趣。 2.明确该科目的学习重点和学习方法。 【教学重点】 1.电信号的种类和各自的特点。 2.数字信号的表示方法。 3.脉冲波形主要参数的含义及常见脉冲波形。 4.数字电路的特点和优越性。 【教学难点】 数字信号在日常生活中的应用。 【教学方法】 讲授法,讨论法 【参考教学课时】 1课时 【教学过程】 一、新授内容 7.1.1 数字信号与模拟信号 1. 模拟信号:在时间和数值上是连续变化的信号称为模拟信号。 2. 数字信号:在时间和数值上是离散的信号称为数字信号。 讨论:请同学们列举几种常见的数字信号和模拟信号。 7.1.2 脉冲信号及其参数 1. 脉冲信号的定义:在瞬间突然变化、作用时间极短的电压或电流信号。 2.脉冲的主要参数:脉冲幅值V m 、脉冲上升时间t r 、脉冲下降时间t f 、脉冲宽度t W 、脉冲周期T及占空比D。 7.1.3 数字电路的特点及应用 特点:1.电路结构简单,便于实现数字电路集成化。

2.抗干扰能力强,可靠性高。(例如手机) 3.数字电路实际上是一种逻辑运算电路,电路分析与设计方法简单、方便。 4.数字电路可以方便地保存、传输、处理数字信号。(例如计算机) 5.精度高、功能完备、智能化。(例如数字电视和数码照相机) 应用:数字电路在家电产品、测量仪器、通信设备、控制装置等领域得到广泛的应用,数字化的发展前景非常宽阔。 讨论:1.你用过哪些数字电路产品,请列出1~2个较为典型的例子,并就其中一个产品说明它的功能及优点和缺点。 二、课堂小结 1. 数字信号与模拟信号的概念 2. 脉冲信号及其参数 3. 数字电路的特点及应用 三、课堂思考 讨论:谈谈如何才能学好数字电路课程? 四、课后练习 P143思考与练习题:1、 2、3。 【课题】 7.2 常用数制与编码 【教学目的】 1.掌握二进制、十进制、十六进制数的表示方法及数制间的相互转换。 2.了解8421BCD码的表示形式。 【教学重点】 1.二进制、十六进制数的表示方法。 2.数字电路中为什么广泛采用二、十六进制数。 3.为什么要进行不同数制之间的转换。 4.进行二进制、十进制数、十六进制之间的相互转换。 5. 8421BCD码。 【教学难点】

数字电子技术基础教材第四章答案

数字电子技术基础教材第四章答案

习题4 4-1 分析图P4-1所示的各组合电路,写出输出函数表达式,列出真值表,说明电路的逻辑功能。 解:图(a ):1 F AB =;2 F A B =e ;3 F AB = 真值表如下表所示: A B 1 F 2F 3 F 0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 其功能为一位比较器。A>B 时,1 1F =;A=B 时,

21 F =;A

位的进位。 图(d ):1 F AB =;2 F A B =e ;3 F AB = 功能:为一位比较器,AB 时,3 F =1 4-2 分析图P4-2所示的组合电路,写出输出函数表达式,列出真值表,指出该电路完成的逻辑功能。

解:该电路的输出逻辑函数表达式为: 1 00 101 1 02 103 F A A x A A x A A x A A x =+++ 因此该电路是一个四选一数据选择器,其真值表如下表所示: 1 A A F 0 0 0x 0 1 1x 1 0 2x 1 1 3 x

4-3 图P4-3是一个受M 控制的代码转换电路,当M =1时,完成4为二进制码至格雷码的转换;当M =0时,完成4为格雷码至二进制的转换。试分别写出0 Y ,1 Y ,2 Y ,3 Y 的逻辑函数的表达式, 并列出真值表,说明该电路的工作原理。 解:该电路的输入为3 x 2x 1x 0 x ,输出为3 Y 2 Y 1 Y 0 Y 。真值 表如下: 3 x 2 x 1 x x 3 Y 2 Y 1 Y Y M=1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 1 0 1 1 1

《电子技术基本》数字电路备课教案(张兴龙主编教学材料)

学生情况分析 该门课程所授对象是电子20和电子22班,两个班的学生都接近50人,均为二年一期学生。该批学生已经学习了《电子技术基础》的模拟电路的大部分,对专业都有了较为全面的了解,对专业课的学习方法都有一定的掌握,并学习过《电工基础》课程且有部分同学通过了电工证的考试,还学习过电子技能训练,掌握了基本工具的使用,具备一定的制作能力并有浓厚的兴趣。他们都还处于入门期,对知识的渴望较高,对专业课的反映很好。这些都是有利的方面。 不利的方面也是有的,诸如存在学生之间发展不平衡:有的课外参加过制作培训,甚至有少部分同学对电视机维修都有较好的掌握,而有同学对起码的制作还没入门,更有甚者有学生还不会使用万用表。还存在班级发展不平衡:由于电子20班与电子22班在以前的授课中专业老师不一样,各任课教师的侧重点也各不相同,使得班级之间有各方面的差异。随着《电子技术基础》一年二期的学习,有部分同学产生了畏难情绪,失去了学习兴趣。这两个班都有少部分同学是从电子23班转入的,在学生不平衡方面就尤为明显。

当然,教学过程本身就是要针对学生的不同状况做出相应的布置,让学生能学有所获。在对教材处理上,在教学方法上,在教学辅导等等各教学环节上都要有针对性的去解决问题,达到建立学生的学习兴趣,构成学生的知识个性。使学生能成为社会的中等技术工人,并具备后绪发展能力。 教材分析 该课程选用的由张龙兴主编的《电子技术基础》,由高等教育出版社出版,是教育部规划教材。全书分两篇,第一篇模拟电路基础,第二篇数字电路基础。第一篇学生已经在一年二期学习了大部分内容,只有集成运放一节没有学习。第二篇数字电路包括逻辑门电路、数字逻辑基础、组合逻辑电路、集成触发器、时序逻辑电路、脉冲的产生和整形电路、数模和模数转换、智能化电子系统简介八个章节。 在教学中不可能面面具到,就需要适度的对教材进行处理,只能以部分为重点,根据学生的实际情况和教材内容,在教学中侧重于逻辑门电路(8课时)、数字逻辑基础(10课时)、组合逻辑电路的教学(14课时)、

数字电子技术教学大纲

《数字电子技术》课程教学大纲 执笔人:张晓冬等编写日期:2012年12月 一、课程基本信息 1.课程编号:94L117Q 2.课程体系/类别:专业类/专业基础课,专业主干课 3.学时/学分:64/4 4.先修课程:电路、模拟电子技术 5.适用专业:电气工程及其自动化 二、课程教学目标及学生应达到的能力 本课程是电气信息类专业的主要技术基础理论课程之一,是该专业的主干课程。 本课程的教学目的是使学生掌握数字电子技术的基本工作原理、基本分析方法和基本应用技能,使学生能够对各种基本逻辑单元进行分析和设计,学会使用标准的集成电路和可编程逻辑器件,并初步具备根据实际要求应用这些单元和器件构成简单数字电子系统的能力,为后续专业课程的学习奠定坚实的基础。 三、课程教学内容和要求

四、课程教学安排 在教学方法上,采取课堂讲授、实验及上机操作、课堂讨论、课后自学、完成作业及研究性教学作品等多种形式。通过本课程各教学环节的有机结合,培养学生分析问题、解决问题和应用实践的能力。本课程理论与实践并重,在强调理论知识学习的同时,安排较多的学时用于实验与上机仿真,提高学生综合应用知识解决问题的能力。 (一)课堂讲授 在教学过程中,教师应注重加强基础,对数字电路基本单元的基本概念、基本原理、基本分析方法进行适当详细的讲解,并指出每章的重点和难点。讲授中应尽量结合数字技术的最新研究成果,注重理论联系实际,通过电子课件、上机实验等多种形式展示、讨论,启迪学生的思维,加深学生对有关概念、内容和方法的理解,使学生逐渐掌握一般数字电路系统的分析与设计方法。 (二)教学方法 本门课程已制作电子教案,可在适当章节或适当时间使用。采用多媒体与黑板相结合的手段进行教学,既重“量”又重“质”,既要注重内容的广泛性,又要突出重点。 号召学生参观大型电子科技展览和数字技术成果展,使学生了解数字技术的最新发展成果,拓宽其视野。 (三)课堂讨论和课后自学 为了培养学生的自学能力和分析、处理问题的能力,对各章的重点和难点问题,采用课堂讨论的形式,加深理解。在课堂讨论中,同学们还可以交流学习内容和体会,起到互相促进、共同进步的作用。 (四)实验 加强学生的动手能力的培养,学生在实验环节除完成教师安排的任务,还可以根据实际情况,自选一些题目进行设计。 1.实验目的:加深对课堂所学知识的理解,培养学生的实践能力。 2.实验前指导:实验前教师应做实验内容、要求方面的指导,并要求学生先做些实验前的预习准备工作,经过预习要达到: ①明确实验目的和要求; ②熟悉实验内容,完成实验电路设计; ③了解实验验收标准和具体考核方法。 3.实验项目:实验内容紧靠理论大纲。共7个实验,16学时。 五、课程的考核

相关文档 最新文档