文档库 最新最全的文档下载
当前位置:文档库 › 电子信息、通信、电类专业将会遇到的面试题大全和部分答案

电子信息、通信、电类专业将会遇到的面试题大全和部分答案

电子信息、通信、电类专业将会遇到的面试题大全和部分答案
电子信息、通信、电类专业将会遇到的面试题大全和部分答案

电子信息、通信、电类专业将会遇到的面试题大全

模拟电路

1、基尔霍夫定理的内容是什么?(仕兰微电子)

基尔霍夫电流定律是一个电荷守恒定律,即在一个电路中流入一个节点的电荷与流出同一个

节点的电荷相等.

基尔霍夫电压定律是一个能量守恒定律,即在一个回路中回路电压之和为零.

2、平板电容公式(C=εS/4πkd)。(未知)

3、最基本的如三极管曲线特性。(未知)

4、描述反馈电路的概念,列举他们的应用。(仕兰微电子)

5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反

馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知)

6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)

7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知)

8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸)

9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点

,特别是广泛采用差分结构的原因。(未知)

10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知)

11、画差放的两个输入管。(凹凸)

12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子)

13、用运算放大器组成一个10倍的放大器。(未知)

14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的rise/fall时间。(Infineon笔试试题)

15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R 上电压

,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC<< period - setup ? hold

16、时钟周期为T,触发器D1的建立时间最大为T1max,最小为T1min。组合逻辑电路最大延

迟为T2max,最小为T2min。问,触发器D2的建立时间T3和保持时间应满足什么条件。(华为

17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有 clock的delay,写出决定最大时钟的因素,同时给出表达式。(威盛VIA 2003.11.06 上海笔试试题)

18、说说静态、动态时序模拟的优缺点。(威盛VIA 2003.11.06 上海笔试试题)

19、一个四级的Mux,其中第二级信号为关键信号如何改善timing。(威盛VIA2003.11.06 上海笔试试题)

20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,使得输出依赖于关键路径。(未知)

21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优

点),全加器等等。(未知)

22、卡诺图写出逻辑表达使。(威盛VIA 2003.11.06 上海笔试试题)

23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛)

24、please show the CMOS inverter schmatic,layout and its cross sectionwith P-

well process.Plot its transfer curve (Vout-Vin) And also explain the operation

region of PMOS and NMOS for each segment of the transfer curve? (威盛笔试题c ircuit design-beijing-03.11.09)

25、To design a CMOS invertor with balance rise and fall time,please define th

e ration o

f channel width of PMOS and NMOS and explain?

26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子)

27、用mos管搭出一个二输入与非门。(扬智电子笔试)

28、please draw the transistor level schematic of a cmos 2 inputAND gate and explain which inputhas faster response for output rising edge.(less delay tim

e)。(威盛笔试题circuit design-beijing-03.11.09)

29、画出NOT,NAND,NOR的符号,真值表,还有transistor level的电路。(Infineon笔试

30、画出CMOS的图,画出tow-to-one mux gate。(威盛VIA 2003.11.06 上海笔试试题)

31、用一个二选一mux和一个inv实现异或。(飞利浦-大唐笔试)

32、画出Y=A*B+C的cmos电路图。(科广试题)

33、用逻辑们和cmos电路实现ab+cd。(飞利浦-大唐笔试)

34、画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E)。(仕兰微电子)

35、利用4选1实现F(x,y,z)=xz+yz’。(未知)

36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简)。

37、给出一个简单的由多个NOT,NAND,NOR组成的原理图,根据输入波形画出各点波形。(

Infineon笔试)

38、为了实现逻辑(A XOR B)OR (C AND D),请选用以下逻辑中的一种,并说明为什么?1)INV 2)AND 3)OR 4)NAND 5)NOR 6)XOR 答案:NAND(未知)

39、用与非门等设计全加法器。(华为)

40、给出两个门电路让你分析异同。(华为)

41、用简单电路实现,当A为输入时,输出B波形为…(仕兰微电子)

42、A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0

多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制。(未知)

43、用波形表示D触发器的功能。(扬智电子笔试)

44、用传输门和倒向器搭一个边沿触发器。(扬智电子笔试)

45、用逻辑们画出D触发器。(威盛VIA 2003.11.06 上海笔试试题)

46、画出DFF的结构图,用verilog实现之。(威盛)

47、画出一种CMOS的D锁存器的电路图和版图。(未知)

48、D触发器和D锁存器的区别。(新太硬件面试)

49、简述latch和filp-flop的异同。(未知)

50、LATCH和DFF的概念和区别。(未知)

51、latch与register的区别,为什么现在多用register.行为级描述中latch如何产生的。(南山之桥)

52、用D触发器做个二分颦的电路.又问什么是状态图。(华为)

53、请画出用D触发器实现2倍分频的逻辑电路?(汉王笔试)

54、怎样用D触发器、与或非门组成二分频电路?(东信笔试)

55、How many flip-flop circuits are needed to divide by 16? (Intel) 16分频?

56、用filp-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出carryout和next-stage. (未知)

57、用D触发器做个4进制的计数。(华为)

58、实现N位Johnson Counter,N=5。(南山之桥)

59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?(仕兰微

电子)

60、数字电路设计当然必问Verilog/VHDL,如设计计数器。(未知)

61、BLOCKING NONBLOCKING 赋值的区别。(南山之桥)

62、写异步D触发器的verilog module。(扬智电子笔试)

module dff8(clk , reset, d, q);

inputclk;

inputreset;

input[7:0] d;

output [7:0] q;

reg [7:0] q;

always @ (posedge clk or posedge reset)

if(reset)

q <= 0;

else

q <= d;

endmodule

63、用D触发器实现2倍分频的Verilog描述?(汉王笔试)

module divide2( clk , clk_o, reset);

inputclk , reset;

output clk_o;

wire in;

reg out ;

always @ ( posedge clk or posedge reset)

if ( reset)

out <= 0;

else

out <= in;

assign in = ~out;

assign clk_o = out;

endmodule

64、可编程逻辑器件在现代电子设计中越来越重要,请问:a) 你所知道的可编程逻辑器件有哪些?b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。(汉王笔试)PAL,PLD,CPLD,FPGA。

module dff8(clk , reset, d, q);

inputclk;

inputreset;

inputd;

output q;

reg q;

always @ (posedge clk or posedge reset)

if(reset)

q <= 0;

else

q <= d;

endmodule

65、请用HDL描述四位的全加法器、5分频电路。(仕兰微电子)

66、用VERILOG或VHDL写一段代码,实现10进制计数器。(未知)

67、用VERILOG或VHDL写一段代码,实现消除一个glitch。(未知)

68、一个状态机的题目用verilog实现(不过这个状态机画的实在比较差,很容易误解的)。(威盛VIA 2003.11.06 上海笔试试题)

69、描述一个交通信号灯的设计。(仕兰微电子)

70、画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱。(扬智电子笔试)

71、设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱数。(1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求。(未知

72、设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零:(1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求;(3)设计

工程中可使用的工具及设计大致过程。(未知)

73、画出可以检测10010串的状态图,并verilog实现之。(威盛)

74、用FSM实现101101的序列检测模块。(南山之桥)

a为输入端,b为输出端,如果a连续输入为1101则b输出为1,否则为0。例如a:00011001 10110100100110

b:0000000000100100000000

请画出state machine;请用RTL描述其state machine。(未知)

75、用verilog/vddl检测stream中的特定字符串(分状态用状态机写)。(飞利浦-大唐

笔试)

76、用verilog/vhdl写一个fifo控制器(包括空,满,半满信号)。(飞利浦-大唐笔试)

77、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx,其中,x 为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数。电源电压为3~5v 假

设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。(仕兰微

电子)

78、sram,falsh memory,及dram的区别?(新太硬件面试)

79、给出单管DRAM的原理图(西电版《数字电子技术基础》作者杨颂华、冯毛官205页图9

-14b),问你有什么办法提高refresh time,总共有5个问题,记不起来了。(降低温度,增大电容存储容量)(Infineon笔试)

80、Please draw schematic of a common SRAM cell with 6 transistors,point out w

hich nodes can store data and which node is word line control? (威盛笔试题cir

cuit design-beijing-03.11.09)

81、名词:sram,ssram,sdram

名词IRQ,BIOS,USB,VHDL,SDR

IRQ: Interrupt ReQuest

BIOS: Basic inputOutput System

USB: Universal Serial Bus

VHDL: VHIC Hardware Description Language

SDR: Single Data Rate

压控振荡器的英文缩写(VCO)。

动态随机存储器的英文缩写(DRAM)。

名词解释,无聊的外文缩写罢了,比如PCI、ECC、DDR、interrupt、pipeline IRQ,BIOS, USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散傅立叶变换)或者是中文的,比如:a.量化误差b.直方图c.白平衡

____________________________________________________________

IC设计基础(流程、工艺、版图、器件)

1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等

的概念)。(仕兰微面试题目)

2、FPGA和ASIC的概念,他们的区别。(未知)

答案:FPGA是可编程ASIC。

ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造

成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点

3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)

4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目)

5、描述你对集成电路设计流程的认识。(仕兰微面试题目)

6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目)

7、IC设计前端到后端的流程和eda工具。(未知)

8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知)

9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题)

10、写出asic前期设计的流程和相应的工具。(威盛)

11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试)

先介绍下IC开发流程:

1.)代码输入(design input)

用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码

语言输入工具:SUMMIT VISUALHDL

MENTOR RENIOR

图形输入: composer(cadence);

viewlogic (viewdraw)

2.)电路仿真(circuit simulation)

将vhd代码进行先前逻辑仿真,验证功能描述是否正确

数字电路仿真工具:

Verolog:CADENCE Verolig-XL

SYNOPSYS VCS

MENTOR Modle-sim

VHDL : CADENCE NC-vhdl

SYNOPSYS VSS

MENTOR Modle-sim

模拟电路仿真工具:

***ANTI HSpice pspice,spectre micro microwave: eesoft : hp

3.)逻辑综合(synthesis tools)

逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再

仿真。最终仿真结果生成的网表称为物理网表。

12、请简述一下设计后端的整个流程?(仕兰微面试题目)

13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元素?(仕兰微面试题目)

14、描述你对集成电路工艺的认识。(仕兰微面试题目)

15、列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么?(仕兰微面试题目)

16、请描述一下国内的工艺现状。(仕兰微面试题目)

17、半导体工艺中,掺杂有哪几种方式?(仕兰微面试题目)

18、描述CMOS电路中闩锁效应产生的过程及最后的结果?(仕兰微面试题目)

19、解释latch-up现象和Antenna effect和其预防措施.(未知)

20、什么叫Latchup?(科广试题)

21、什么叫窄沟效应? (科广试题)

22、什么是NMOS、PMOS、CMOS?什么是增强型、耗尽型?什么是PNP、NPN?他们有什么差

别?(仕兰微面试题目)

23、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求?(仕兰微

面试题目)

24、画出CMOS晶体管的CROSS-OVER图(应该是纵剖面图),给出所有可能的传输特性和转

移特性。(Infineon笔试试题)

25、以interver为例,写出N阱CMOS的process流程,并画出剖面图。(科广试题)

26、Please explain how we describe the resistance in semiconductor. Compare th

e resistance o

f a metal,poly and diffusion in tranditional CMOS process.(威盛

笔试题circuit design-beijing-03.11.09)

27、说明mos一半工作在什么区。(凹凸的题目和面试)

28、画p-bulk 的nmos截面图。(凹凸的题目和面试)

29、写schematic note(?),越多越好。(凹凸的题目和面试)

30、寄生效应在ic设计中怎样加以克服和利用。(未知)

31、太底层的MOS管物理特性感觉一般不大会作为笔试面试题,因为全是微电子物理,公式

推导太罗索,除非面试出题的是个老学究。IC设计的话需要熟悉的软件: Cadence,Synops ys, Avant,UNIX当然也要大概会操作。

32、unix 命令cp -r, rm,uname。(扬智电子笔试)

____________________________________________________________

单片机、MCU、计算机原理

1、简单描述一个单片机系统的主要组成模块,并说明各模块之间的数据流流向和控制流流向。简述单片机应用系统的设计原则。(仕兰微面试题目)

2、画出8031与2716(2K*8ROM)的连线图,要求采用三-八译码器,8031的P2.5,P2.4和P2

.3参加译码,基本地址范围为3000H-3FFFH。该2716有没有重叠地址?根据是什么?若有,则写出每片2716的重叠地址范围。(仕兰微面试题目)

3、用8051设计一个带一个8*16键盘加驱动八个数码管(共阳)的原理图。(仕兰微面试题

目)

4、PCI总线的含义是什么?PCI总线的主要特点是什么?(仕兰微面试题目)

5、中断的概念?简述中断的过程。(仕兰微面试题目)

6、如单片机中断几个/类型,编中断程序注意什么问题;(未知)

7、要用一个开环脉冲调速系统来控制直流电动机的转速,程序由8051完成。简单原理如下

:由P3.4输出脉冲的占空比来控制转速,占空比越大,转速越快;而占空比由K7-K0八个开

关来设置,直接与P1口相连(开关拨到下方时为"0",拨到上方时为"1",组成一个八

位二进制数N),要求占空比为N/256。(仕兰微面试题目)

下面程序用计数法来实现这一功能,请将空余部分添完整。

MOV P1,#0FFH

LOOP1 :MOV R4,#0FFH

--------

MOV R3,#00H

LOOP2 :MOV A,P1

--------

SUBB A,R3

JNZ SKP1

--------

SKP1:MOV C,70H

MOV P3.4,C

ACALL DELAY :此延时子程序略

--------

--------

AJMP LOOP1

8、单片机上电后没有运转,首先要检查什么?(东信笔试题)

9、What is PC Chipset? (扬智电子笔试)

芯片组(Chipset)是主板的核心组成部分,按照在主板上的排列位置的不同,通常分为北桥芯片和南桥芯片。北桥芯片提供对CPU的类型和主频、内存的类型和最大容量ISA/PCI/A GP插槽、ECC纠错等支持。南桥芯片则提供对KBC(键盘控制器)、RTC(实时时

钟控制器)、USB(通用串行总线)、Ultra DMA/33(66)EIDE数据传输方式和ACPI(高级能源管理)等的支持。其中北桥芯片起着主导性的作用,也称为主桥(Host Bridge)。

除了最通用的南北桥结构外,目前芯片组正向更高级的加速集线架构发展,Intel的8 xx系列芯片组就是这类芯片组的代表,它将一些子系统如IDE接口、音效、MODEM和USB 直接

接入主芯片,能够提供比PCI总线宽一倍的带宽,达到了266MB/s。

10、如果简历上还说做过cpu之类,就会问到诸如cpu如何工作,流水线之类的问题。(未知)

11、计算机的基本组成部分及其各自的作用。(东信笔试题)

12、请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、所存器/缓冲器)。(汉王笔试)

13、cache的主要部分什么的。(威盛VIA 2003.11.06 上海笔试试题)

14、同步异步传输的差异(未知)

15、串行通信与同步通信异同,特点,比较。(华为面试题)

16、RS232c高电平脉冲对应的TTL逻辑是?(负逻辑?) (华为面试题)

____________________________________________________________

信号与系统

1、的话音频率一般为300~3400HZ,若对其采样且使信号不失真,其最小的采样频率应为多

大?若采用8KHZ的采样频率,并采用8bit的PCM编码,则存储一秒钟的信号数据量有多大?

(仕兰微面试题目)

2、什么耐奎斯特定律,怎么由模拟信号转为数字信号。(华为面试题)

3、如果模拟信号的带宽为5khz,要用8K的采样率,怎么办?lucent) 两路?

4、信号与系统:在时域与频域关系。(华为面试题)

5、给出时域信号,求其直流分量。(未知)

6、给出一时域信号,要求(1)写出频率分量,(2)写出其傅立叶变换级数;(3)当波

形经过低通滤波器滤掉高次谐波而只保留一次谐波时,画出滤波后的输出波形。(未知)

7、sketch 连续正弦信号和连续矩形波(都有图)的傅立叶变换。(Infineon笔试试题)

8、拉氏变换和傅立叶变换的表达式及联系。(新太硬件面题)

____________________________________________________________

DSP、嵌入式、软件等

1、请用方框图描述一个你熟悉的实用数字信号处理系统,并做简要的分析;如果没有,也

可以自己设计一个简单的数字信号处理系统,并描述其功能及用途。(仕兰微面试题目)

2、数字滤波器的分类和结构特点。(仕兰微面试题目)

3、IIR,FIR滤波器的异同。(新太硬件面题)

4、拉氏变换与Z变换公式等类似东西,随便翻翻书把如.h(n)=-a*h(n-1)+b*δ(n) a.求h( n)的z变换;b.问该系统是否为稳定系统;c.写出FIR数字滤波器的差分方程;(未知)

5、DSP和通用处理器在结构上有什么不同,请简要画出你熟悉的一种DSP结构图。(信威

d

sp软件面试题)

6、说说定点DSP和浮点DSP的定义(或者说出他们的区别)(信威dsp软件面试题)

7、说说你对循环寻址和位反序寻址的理解.(信威dsp软件面试题)

8、请写出【-8,7】的二进制补码,和二进制偏置码。用Q15表示出0.5和-0.5.(信威

d

sp软件面试题)

9、DSP的结构(哈佛结构);(未知)

10、嵌入式处理器类型(如ARM),操作系统种类(Vxworks,ucos,winCE,linux),操作系统方面偏CS方向了,在CS篇里面讲了;(未知)

11、有一个LDO芯片将用于对手机供电,需要你对他进行评估,你将如何设计你的测试项目

12、某程序在一个嵌入式系统(200M CPU,50M SDRAM)中已经最优化了,换到零一个系统

(300M CPU,50M SDRAM)中是否还需要优化?(Intel)

13、请简要描述HUFFMAN编码的基本原理及其基本的实现方法。(仕兰微面试题目)

14、说出OSI七层网络协议中的四层(任意四层)。(仕兰微面试题目)

15、A)(仕兰微面试题目)

#i nclude

void testf(int*p)

{

*p+=1;

}

main()

{

int *n,m[2];

n=m;

m[0]=1;

m[1]=8;

testf(n);

printf("Data v alue is %d ",*n);

}

------------------------------

B)

#i nclude

void testf(int**p)

{

*p+=1;

}

main()

{int *n,m[2];

n=m;

m[0]=1;

m[1]=8;

testf(&n);

printf(Data v alue is %d",*n);

}

下面的结果是程序A还是程序B的?

Data v alue is 8

那么另一段程序的结果是什么?

16、那种排序方法最快? (华为面试题)

17、写出两个排序算法,问哪个好?(威盛)

18、编一个简单的求n!的程序。(Infineon笔试试题)

19、用一种编程语言写n!的算法。(威盛VIA 2003.11.06 上海笔试试题)

20、用C语言写一个递归算法求N!;(华为面试题)

21、给一个C的函数,关于字符串和数组,找出错误;(华为面试题)

22、防火墙是怎么实现的?(华为面试题)

23、你对哪方面编程熟悉?(华为面试题)

24、冒泡排序的原理。(新太硬件面题)

25、操作系统的功能。(新太硬件面题)

26、学过的计算机语言及开发的系统。(新太硬件面题)

27、一个农夫发现围成正方形的围栏比长方形的节省4个木桩但是面积一样.羊的数目和正方形围栏的桩子的个数一样但是小于36,问有多少羊?(威盛)

28、C语言实现统计某个cell在某.v文件调用的次数(这个题目真bt) (威盛VIA2003.11.0 6 上海笔试试题)

29、用C语言写一段控制手机中马达振子的驱动程序。(威胜)

30、用perl或TCL/Tk实现一段字符串识别和比较的程序。(未知)

31、给出一个堆栈的结构,求中断后显示结果,主要是考堆栈压入返回地址存放在低端地址还是高端。(未知)

32、一些DOS命令,如显示文件,拷贝,删除。(未知)

33、设计一个类,使得该类任何形式的派生类无论怎么定义和实现,都无法产生任何对象实例。(IBM)

34、What is pre-emption? (Intel)

35、What is the state of a process if a resource is not available? (Intel)

36、三个 float a,b,c;问值(a+b)+c==(b+a)+c,(a+b)+c==(a+c)+b。(Intel)

37、把一个链表反向填空。 (lucent)

38、x^4+a*x^3+x^2+c*x+d 最少需要做几次乘法?(Dephi)

____________________________________________________________

主观题

1、你认为你从事研发工作有哪些特点?(仕兰微面试题目)

2、说出你的最大弱点及改进方法。(威盛VIA 2003.11.06 上海笔试试题)

3、说出你的理想。说出你想达到的目标。题目是英文出的,要用英文回答。(威盛VIA

2003.11.06 上海笔试试题)

4、我们将研发人员分为若干研究方向,对协议和算法理解(主要应用在网络通信、图象

语音压缩方面)、电子系统方案的研究、用MCU、DSP编程实现电路功能、用ASIC设计技术

设计电路(包括MCU、DSP本身)、电路功能模块设计(包括模拟电路和数字电路)、集成电路后端设计(主要是指综合及自动布局布线技术)、集成电路设计与工艺接口的研究.

你希望从事哪方面的研究?(可以选择多个方向。另外,已经从事过相关研发的人员可以详细描述你的研发经历)。(仕兰微面试题目)

5、请谈谈对一个系统设计的总体思路。针对这个思路,你觉得应该具备哪些方面的知识

?(仕兰微面试题目)

6、设想你将设计完成一个电子电路方案。请简述用EDA软件(如PROTEL)进行设计(包

原理图和PCB图)到调试出样机的整个过程。在各环节应注意哪些问题?电源的稳定,电容的选取,以及布局的大小。(汉王笔试)

共同的注意点

各大公司电子类招聘题目精选

1.一般情况下,面试官主要根据你的简历提问,所以一定要对自己负责,把简历上的东西

搞明白;

2.个别招聘针对性特别强,就招目前他们确的方向的人,这种情况下,就要投其所好,尽

量介绍其所关心的东西。

3.其实技术面试并不难,但是由于很多东西都忘掉了,才觉得有些难。所以最好在面试前

把该看的书看看。

4.虽然说技术面试是实力的较量与体现,但是不可否认,由于不用面试官/公司所专领域

及爱好不同,也有面试也有很大的偶然性,需要冷静对待。不能因为被拒,就否认自己或责骂公司。

5.面试时要take it easy,对越是自己钟情的公司越要这样。

电子专业硬件工程师面试试题答案

模拟电路

1、基尔霍夫定理的内容是什么?

基尔霍夫定律包括电流定律和电压定律

电流定律:在集总电路中,任何时刻,对任一节点,所有流出节点的支路电流的代数和恒等于零。

电压定律:在集总电路中,任何时刻,沿任一回路,所有支路电压的代数和恒等于零。

2、描述反馈电路的概念,列举他们的应用。

反馈,就是在电子系统中,把输出回路中的电量输入到输入回路中去。

反馈的类型有:电压串联负反馈、电流串联负反馈、电压并联负反馈、电流并联负反馈。

负反馈的优点:降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用。

电压负反馈的特点:电路的输出电压趋向于维持恒定。

电流负反馈的特点:电路的输出电流趋向于维持恒定。

3、有源滤波器和无源滤波器的区别

无源滤波器:这种电路主要有无源元件R、L和C组成

有源滤波器:集成运放和R、C组成,具有不用电感、体积小、重量轻等优点。

集成运放的开环电压增益和输入阻抗均很高,输出电阻小,构成有源滤波电路后还具有一定的电压放大和缓冲作用。但集成运放带宽有限,所以目前的有源滤波电路的工作频率难以做得很高。

数字电路

1、同步电路和异步电路的区别是什么?

同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。

异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,这有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。2、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?

将两个门电路的输出端并联以实现与逻辑的功能成为线与。

在硬件上,要用OC门来实现,同时在输出端口加一个上拉电阻。

由于不用OC门可能使灌电流过大,而烧坏逻辑门。

3、解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA2003.11.06

上海笔试试题)

Setup/hold time是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。

保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time 不够,数据同样不能被打入触发器。

建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量。

4、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试)

在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。

产生毛刺叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。

解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。

5、名词:SRAM、SSRAM、SDRAM

SRAM:静态RAM

DRAM:动态RAM

SSRAM:Synchronous Static Random Access Memory同步静态随机访问存储器。它的一种类型的SRAM。SSRAM的所有访问都在时钟的上升/下降沿启动。地址、数据输入和其它控制信号均于时钟信号相关。这一点与异步SRAM不同,异步SRAM的访问独立于时钟,数据输入和输出都由地址的变化控制。

SDRAM:Synchronous DRAM同步动态随机存储器

6、FPGA和ASIC的概念,他们的区别。(未知)

答案:FPGA是可编程ASIC。

ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点。

7、什么叫做OTP片、掩膜片,两者的区别何在?

OTP means one time program,一次性编程

MTP means multi time program,多次性编程

OTP(One Time Program)是MCU的一种存储器类型

MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASHROM 等类型。

MASKROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;

FALSHROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;

OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。8、单片机上电后没有运转,首先要检查什么?

首先应该确认电源电压是否正常。用电压表测量接地引脚跟电源引脚之间的电压,看是否是电源电压,例如常用的5V。

接下来就是检查复位引脚电压是否正常。分别测量按下复位按钮和放开复位按钮的电压值,看是否正确。

然后再检查晶振是否起振了,一般用示波器来看晶振引脚的波形,注意应该使用示波器探头的“X10”档。另一个办法是测量复位状态下的IO口电平,按住复位键不放,然后测量IO口(没接外部上拉的P0口除外)的电压,看是否是高电平,如果不是高电平,则多半是因为晶振没有起振。

另外还要注意的地方是,如果使用片内ROM的话(大部分情况下如此,现在已经很少有用外部扩ROM的了),一定要将EA引脚拉高,否则会出现程序乱跑的情况。有时用仿

真器可以,而烧入片子不行,往往是因为EA引脚没拉高的缘故(当然,晶振没起振也是原因只一)。经过上面几点的检查,一般即可排除故障了。如果系统不稳定的话,有时是因为电源滤波不好导致的。在单片机的电源引脚跟地引脚之间接上一个0.1uF的电容会有所改善。如果电源没有滤波电容的话,则需要再接一个更大滤波电容,例如220uF的。遇到系统不

稳定时,就可以并上电容试试(越靠近芯片越好)。

电子类面试题目精选

各大公司电子类招聘题目精选 模拟电路 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 频率补偿目的就是减小时钟和相位差,使输入输出频率同步. 频率补偿的根本思想就是在基本电路或反馈网络中添加一些元件来改变反馈放大电路的开环频率特性(主要是把高频时最小极点频率与其相近的极点频率的间距拉大),破坏自激振荡条件,经保证闭环稳定工作,并满足要求的稳定裕度,实际工作中常采用的方法是在基本放大器中接入由电容或RC元件组成的补偿电路,来消去自激振荡. 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个差分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 一般对于两级或者多级的运放才需要补偿。一般采用密勒补偿。 例如两级的全差分运放和两级的双端输入单端输出的运放,都可以采用密勒补偿,在第二级(输出级)进行补偿。区别在于:对于全差分运放,两个输出级都要进行补偿,而对于单端输出的两级运放,只要一个密勒补偿。 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知) 差动放大电路是构成多级直接耦合放大电路的基本单元电路。利用电路在结构上的对称性,可以有效抑制由于温度变化引起晶体管参数变化造成的电路静态工作点的漂移差分放大电路对差模输入信号有放大能力,差分放大电路对共模输入信号有抑制作用 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall时间。(Infineon笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC<

电子面试题目

各大公司电子类招聘题目精选 [日期:2005-11-3] 来源:人才聘任作者:javie [字体:大中小] 模拟电路 1、基尔霍夫定理的内容是什么?(仕兰微电子) 2、平板电容公式(C=εS/4πkd)。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知) 11、画差放的两个输入管。(凹凸) 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的rise/fall时间。(Infineon笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R 上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC<

电子信息工程、通信工程、电气类等专业面试将会遇到试题大全

电子信息工程、通信工程、电气类等专业面试将会遇到试题大全 模拟电路 1、基尔霍夫定理的内容是什么?(仕兰微电子) 基尔霍夫电流定律是一个电荷守恒定律,即在一个电路中流入一个节点的电荷与流出同一个节点 的电荷相等. 基尔霍夫电压定律是一个能量守恒定律,即在一个回路中回路电压之和为零. 2、平板电容公式(C= £ S/4 n kd。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输岀电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给岀一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点 ,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压丫+和丫-,求共模分量和差模分量。(未知) 11、画差放的两个输入管。(凹凸) 12、画岀由运放构成加法、减法、微分、积分运算的电路原理图。并画岀一个晶体管级的 运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点 的rise/fall 时间。(Infineon 笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输岀电压分别为C上电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波 器。当RC<< period - setup ? hold 16、时钟周期为T,触发器D1的建立时间最大为T1max,最小为T1min 。组合逻辑电路最大延迟为 T2max,最小为T2min。问,触发器D2的建立时间T3和保持时间应满足什么条件. (华为)

电子工程师招聘笔试题及详细解析(不看后悔)分析

一、基础题(每空1分,共40分) 1、晶体三极管在工作时,发射结和集电结均处于正向偏置,该晶体管工作在饱和_状态。 1.截止状态:基极电流Ib=0,集电极电流Ic=0,b-ePN结临界正向偏置到反向偏置, b-cPN结反向偏置。 2.放大状态:集电极电流随基极电流变化而变化,Ic=βIb,b-ePN结正向偏置,b-cPN结反向偏置。 3.饱和状态:集电极电流达到最大值,基极电流再增加集电极流也不会增加,这时的一个特征是b-ePN结、b-cPN结都正向偏置 2、TTL门的输入端悬空,逻辑上相当于接高电平。 3、TTL电路的电源电压为5V, CMOS电路的电源电压为3V-18V 。 4、在TTL门电路的一个输入端与地之间接一个10KΩ电阻,则相当于在该输入端输入低电平;在CMOS门电路的 输入端与电源之间接一个1KΩ电阻,相当于在该输入端输入高电平。 5、二进制数(11010010)2转换成十六进制数是D2。 6、逻辑电路按其输出信号对输入信号响应的不同,可以分为组合逻辑电路和时序逻辑电路两大类。 7、组成一个模为60的计数器,至少需要6个触发器。 一个触发器相当于一位存储单元,可以用六个触发器搭建异步二进制计数器,这样最多能计63个脉冲 8、在数字电路中,三极管工作在截止和饱和状态。 9、一个门电路的输出端能带同类门的个数称为扇出系数。 10、使用与非门时多余的输入脚应该接高电平,使用或非门时多余的输入脚应该接低电平。 与非门:若当输入均为高电平(1),则输出为低电平(0);若输入中至少有一个为低电平(0),则输出为高电平(1)。所以多余的输入脚接高电平或非门:若当输入均为低电平(1),则输出为高电平(0);若输入中至少有一个为高电平(0),则输出为低电平(1)。所以多余的输入脚接低电平 11、贴片电阻上的103代表10kΩ。 12、USB支持控制传输、同步传输、中断传输和批量传输等四种传输模式。 13、一个色环电阻,如果第一色环是红色,第二色环是红色,第三色环是黄色,第四色环是金色,则该电阻 的阻值是220kΩ±10%。 14、MOV A,40H 指令对于源超作数的寻址方式是直接寻址。 指令中直接给出操作数地址(dir)的寻址方式称为直接寻址。以寄存器中的内容为地址,该地址的内容为操作数的寻址方式称为寄存器间接寻址 15、8051系列单片机的ALE信号的作用是地址锁存控制信号。 Address lock enable :地址锁存允许端 15、MCS-8051系列单片机字长是______位。 16、一个10位地址码、8位输出的ROM,其存储容量为。 17、队列和栈的区别是_________。 18、do……while和while……do的区别是_______。 19、在计算机中,一个字节所包含二进制位的个数是______。

常见电子类硬件笔试面试试题整理+答案

硬件笔试题 模拟电路 1、基尔霍夫定理的内容是什么? 基尔霍夫定律包括电流定律和电压定律 电流定律:在集总电路中,任何时刻,对任一节点,所有流出节点的支路电流的代数和恒等于零。 电压定律:在集总电路中,任何时刻,沿任一回路,所有支路电压的代数和恒等于零。 2、描述反馈电路的概念,列举他们的应用。 反馈,就是在电子系统中,把输出回路中的电量输入到输入回路中去。 反馈的类型有:电压串联负反馈、电流串联负反馈、电压并联负反馈、电流并联负反馈。 负反馈的优点:降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用。 电压负反馈的特点:电路的输出电压趋向于维持恒定。 电流负反馈的特点:电路的输出电流趋向于维持恒定。 3、有源滤波器和无源滤波器的区别 无源滤波器:这种电路主要有无源组件R、L和C组成 有源滤波器:集成运放和R、C组成,具有不用电感、体积小、重量轻等优点。 集成运放的开环电压增益和输入阻抗均很高,输出电阻小,构成有源滤波电路后还具有一定的电压放大和缓冲作用。但集成运放带宽有限,所以目前的有源滤波电路的工作频率难以做得很高。 数字电路 1、同步电路和异步电路的区别是什么? 同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,这有这些触发器的状态变化与时钟脉冲同步,而其它的触发器的状态变化不与时钟脉冲同步。 2、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求? 将两个门电路的输出端并联以实现与逻辑的功能成为线与。 在硬件上,要用OC门来实现,同时在输出端口加一个上拉电阻。由于不用OC门可能使灌电流过大,而烧坏逻辑门。 3、解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA2003.11.06上海笔试试题)Setup/hold time是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。 保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量。 4、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试) 在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。 产生毛刺叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。 解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。 5、名词:SRAM、SSRAM、SDRAM SRAM:静态RAM DRAM:动态RAM SSRAM:Synchronous Static Random Access Memory同步静态随机访问存储器。它的一种类型的SRAM。SSRAM的所有访问都在时钟的上升/下降沿启动。地址、数据输入和其它控制信号均于时钟信号相关。这一点与异步

初级中学信息技术面试试题

2017上半年山东(初中信息技术)教师资格证面试真题解析(精选)初中信息技术《信息技术的五次革命》 一、考题回顾

二、考题解析 初中信息技术《信息技术的五次革命》主要教学过程及板书设计 教学过程 (一)导入新课 教师先进行设疑,提出疑问“同学们在当今社会如何获取信息呢”?学生积极踊跃回答,通过计算机网络。然后教师进行引导,自从有了人类,信息是如何被感知、接收、传递、分析和处理的呢?通过本节课的学习,同学们就能给出一个明确的答案。由此,引出新课《信息技术的五次革命》。 (二)新课讲授 播放一段信息技术发展的视频,请同学们认真观察,并思考如下问题:信息技术发展经过了几次革命,以及每次革命的作用都有哪些?视频播放完毕后,给大家5分钟时间,前后左右四人为一小组,讨论第一个问题。时间到后,请小组代表回答,然后教师进行总结:共经历了五次革命,分别是语言的形成、文字的产生与应用、造纸与印刷术的发明与应用、电话电报的发明与应用、计算机与现代通信技术的应用与发展。 1.语言的形成 教师请学生们做一个“你来比划,我来猜”的游戏,有10个题板,每个题板上有一个成语,其中一个同学不能说话,只能通过比划手势的形式来描述题板的内容,另一个同学来猜成语。通过游戏环节,学生很快理解语言的作用,有了语言,可以简单快速的表达和传递信息。 2.文字的产生与应用 教师请两个同学一个站在教室的最前面,一个站在教室的最后面。请前面的同学用比较小的分贝说一句话,后面的同学根本就听不见前面同学所说的话。这时,让前面的同学把刚才所说的话写在纸条上,然后传递给后面的同学,这时后面的同学就能知道他所表达的信息。然后教师进行总结,文字产生的作用:突破时空的限制,扩大信息传播的距离。 3.造纸与印刷术的发明与应用 教师播放造纸术和印刷术工作的过程视频,让同学们总结造纸和印刷术发明的作用:使信息得以更加广泛地传播于文明世界的各个角落,使知识的积累和传播有更为可靠的保证。 4.电报、电话的发明与应用 教师播放电报、电话发明的过程及其影响,然后请同学们进行小组讨论,尝试总结电报电话发明的作用:使人类能够利用电能传递信息,进一步突破了时间与空间的限制。 5.计算机与现代通信技术的应用与发展

最新电气工程师面试题

电气工程师面试题 1.什么是电气设备的额定值? 答:任何一个电气设备,为了安全可靠的工作,都必须有一定的电流,电压和功率因数的限制和规定值,这种规定值就称为额定值。 2.电路的三种工作状态是什么? 答:(1)通路(2)开路(3)短路 3.单相交流电路的有功功率、无功功率和视在功率的计算公式? 答:(1)有功功率:P=UIcosΦ (2)无功功率:Q=UIsinΦ (3)视在功率:S=UI 4.什么是中性点位移? 答:当星形连接的负载不对称时,如果没有中线或者中线的阻抗较大,就会出现中性点电压,这样的现象就叫做中性点位移。 5.什么叫有功功率?什么叫无功功率? 答:(1)电流在电阻电路中,一个周期内所消耗的平均功率叫有功功率。(P)单位:瓦(2)储能元件线圈或电容器与电源之间的能量交换,为了衡量他们之间能量的大小,用瞬时功率的最大值来表示,也就是交换能量的最大速率,称为无功功率(Q)单位:乏。 6.功率因数进相和迟相是怎么回事? 答:同步发电机既发有功也发无功,这种状态称为迟相运行,或称滞后,此时发出感性无功功率,但有时,发电机送出有功,吸收无功,这种状态称为进相运行。 7.发电机并列有几种方法?各有何优缺点? 答:1 发电机并列的方法分两类:准同期法和自同期法。 2 准同期法的优点是发电机没有冲击电流,对电力系统没有什么影响,但如果因某种原因造成非同期并列时,则冲击电流很大,比机端三相短路时电流还大一倍。自同期法并列的优点是操作方法比较简单,合闸过程的自动化简单,在事故状态下,合闸迅速。缺点是有冲击电流,而且对系统有影响,即在合闸的瞬间系统电压降低。 8.准同期并列有哪几个条件?不符和这些条件将产生什么样的后果? 答:1满足三个条件(1)电压相等(2)电压相位一致(3)频率相等2(1)电压不相等的情况下,并列后,发电机绕组内的冲击电流相当大。(2)电压相位不一致,后果可能产生很大的冲击电流使发电机烧毁或使大轴扭曲。(3)频率不等,将使发电机产生机械震动。 9.端电压低了或高了,对发电机本身有什么影响? 答:1电压过低会降低运行的稳定性。励磁电流变化就会引起电压大变化,降低了调节的稳定性,而定子绕组温度可能升高(出力不变的情况下)。2电压太高,转子绕组的温度可能超出允许值,并对定子线圈的绝缘也有威胁。 10.发电机允许变为电动机运行吗? 答:发电机变为电动机运行是完全允许的。发电机变为电动机时,要关闭主汽门,发电机变为电动机运行后,定子磁极在前,转子磁极在后,由定子磁场拖着转子跑,它仍不失同步,故称为同步电动机。此时,电机从系统中吸收有功,补偿机械损耗,而无功也可吸收也可送出。

(完整版)电子相关专业面试题集锦

电子相关专业面试题集锦 模拟电路1、基尔霍夫定理的内容是什么?(仕兰微电子)流国一个接点的电流必定=流出的2、平板电容公式(C=εS/4πkd)。(未知)3、最基本的如三极管曲线特性。(未知)4、描述反馈电路的概念,列举他们的应用。(仕兰微电子)5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知)6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知)8、给出一个差分运放,如何相位补偿,并画补偿后的波特图。(凹凸)9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知)10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知)11、画差放的两个输入管。(凹凸)12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子)13、用运算放大器组成一个10倍的放大器。(未知)14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的rise/fall时间。(Infineon笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC< 数字电路1、同步电路和异步电路的区别是什么?(仕兰微电子)2、什么是同步逻辑和异步逻辑?(汉王笔试)同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现,由于不用oc门可能使灌电流过大,而烧坏逻辑门。同时在输出端口应加一个上拉电阻。4、什么是Setup 和Holdup时间?(汉王笔试)5、setup和holdup时间,区别.(南山之桥)6、解释setup time和hold time的定义和在时钟信号延迟时的变化。(未知)7、解释setup 和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题)Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升

自动化和电气自动化面试常见题及答案!

自动化、电气自动化专业笔试面试最常见的问题(括号内均为提示答案供参考) 模电方面: 1,模电三极管3个脚要会认(e、c、b) 2,模电3种基本放大电路要会画!(共射、共集、共基) 3,OCT、OCL、BJT这3种功放电路要会画,还有3种电路的优缺点要知道(当时我面试就是画这,别的哥们不会,如果你会的话,我保证要你)OTL(Output Transformer Less)电路,称为无输出变压器功放电路。是一种输出级与扬声器之间采用电容耦合而无输出变压器的功放电路,它是高保真功率放大器的基本电路之一,但输出端的耦合电容对频响也有一定影响。OTL电路的主要特点有:采用单电源供电方式,输出端直流电位为电源电压的一半;输出端与负载之间采用大容量电容耦合,扬声器一端接地;具有恒压输出特性,允许扬声器阻抗在4Ω、8Ω、16Ω之中选择,最大输出电压的振幅为电源电压的一半,即1/2 V CC,额定输出功率约为/(8RL)。 OCL(Output Condensert Less)电路,称为无输出电容功放电路,是在OTL电路的基础上发展起来的。 OCL电路的主要特点有:采用双电源供电方式,输出端直流电位为零;由于没有输出电容,低频特性很好;扬声器一端接地,一端直接与放大器输出端连接,因此须设置保护电路;具有恒压输出特性;允许选择4Ω、8Ω或16Ω负载;最大输出电压振幅为正负电源值,额定输出功率约为/(2RL)。需要指出,若正负电源值取OTL电路单电源值的一半,则两种电路的额定输出功率相同,都是/(8RL)。 综上所述OCL是无输出耦合电容的功率放大器,输出级与扬声器之间直接耦合,克服OTL 功放的缺点,是OTL功率放大器的改进,其输出信号的低端频响得到了很大地改善。这种电路采用正负极性的电源,以便获得直流零电平,由于电路直接耦合,为减少零点漂移,输入电路采用差分电路。但这种功放在使用中要充分注意扬声器的保护问题,否则扬声器较容易烧毁,此外,它要求很高的电路稳定性,故元器件的性能指标必须要保证良好,此类功率放率放大器一般在对音质要求较高和场合下应用。 4,电容一般有哪两种?怎么区别?(有极电容和无极电容!前者标有正负极,后者无极性!)5,电路板里一般的小电阻功率大概有多少W?(0.8W左右) 6,Protel要会(eda电子设计电路) 7,什么叫开关电源?有什么特点?(开关电源是利用现代电力电子技术,控制开关管开通和关断的时间比率,维持稳定输出电压的一种电源体积小、重量轻:由于没有工频变压器,所以体积和重量只有线性电源的20~30%。 功耗小、效率高:功率晶体管工作在开关状态,所以晶体管上的功耗小,转化效率高,一般为60~70%,而线性电电源只有30~40%。) (开关电源是相对线性电源说的。他输入端直接将交流电整流变成直流电,再在高频震荡电路的作用下,用开关管控制电流的通断,形成高频脉冲电流。在电感(高频变压器)的帮助下,输出稳定的低压直流电。由于变压器的磁芯大小与他的工作频率的平方成反比,频率越高铁心越小。这样就可以大大减小变压器,使电源减轻重量和体积。而且由于它直接控制直流,使这种电源的效率比线性电源高很多。这样就节省了能源,因此它受到人们的青睐。但它也有缺点,就是电路复杂,维修困难,对电路的污染严重。电源噪声大,不适合用于某些低噪声电路。线性电源(Linear power supply)是先将交流电经过变压器降低电压幅值,再经过整流电路整流后,得到脉冲直流电,后经滤波得到带有微小波纹电压的直流电压。要达到高精度的直流电压,必须经过稳压电路进行稳压。)

电子工程师招聘笔试题及详细解析(不看后悔)

一、 二、 三、基础题(每空1分,共40分) 1、晶体三极管在工作时,发射结和集电结均处于正向偏置,该晶体管工作在饱和_状态。 1.截止状态:基极电流Ib=0,集电极电流Ic=0,b-ePN结临界正向偏置到反向偏置,b-cPN结反向偏置。 2.放大状态:集电极电流随基极电流变化而变化,Ic=βIb,b-ePN结正向偏置,b-cPN结反向偏置。 3.饱和状态:集电极电流达到最大值,基极电流再增加集电极流也不会增加,这时的一个特征是b-ePN结、b-cPN结都正向偏置 2、TTL门的输入端悬空,逻辑上相当于接高电平。 3、TTL电路的电源电压为5V,CMOS电路的电源电压为3V-18V 。 4、在TTL门电路的一个输入端与地之间接一个10K电阻,则相当于在该输入端输入低电平;在CMOS门电 路的输入端与电源之间接一个1K电阻,相当于在该输入端输入高电平。 5、二进制数(11010010)2转换成十六进制数是D2。 6、逻辑电路按其输出信号对输入信号响应的不同,可以分为组合逻辑电路和时序逻辑电路两大类。 7、组成一个模为60的计数器,至少需要6个触发器。 一个触发器相当于一位存储单元,可以用六个触发器搭建异步二进制计数器,这样最多能计63个脉冲 8、在数字电路中,三极管工作在截止和饱和状态。 9、一个门电路的输出端能带同类门的个数称为扇出系数。 10、使用与非门时多余的输入脚应该接高电平,使用或非门时多余的输入脚应该接低电平。 与非门:若当输入均为高电平(1),则输出为低电平(0);若输入中至少有一个为低电平(0),则输出为高电平(1)。所以多余的输入脚接高电平或非门:若当输入均为低电平(1),则输出为高电平(0);若输入中至少有一个为高电平(0),则输出为低电平(1)。所以多余的输入脚接低电平 11、贴片电阻上的103代表10k。 12、USB支持控制传输、同步传输、中断传输和批量传输等四种传输模式。 13、一个色环电阻,如果第一色环是红色,第二色环是红色,第三色环是黄色,第四色环是金色,则该电阻 的阻值是220k±10%。 14、MOV A,40H 指令对于源超作数的寻址方式是直接寻址。 指令中直接给出操作数地址(dir)的寻址方式称为直接寻址。以寄存器中的内容为地址,该地址的内容为操作数的寻址方式称为寄存器间接寻址

电气工程师笔试试题试题完整版

电气工程师笔试试题试 题 Document serial number【NL89WT-NY98YT-NC8CB-NNUUT-NUT108】

电气工程师入司测试题 一、不定选题项 (每题2分,共20分;下列每题都有四个答案,其中至少有一个正确答案,将正确答案的题号填入括号内,多选、少选、错选均不得分) 1、某用户计量电能表,允许误差为±2%,经校验该用户计量电能表实际误差为+5%,计算退回用户电量时应按( )计算。 A.+2% B.+3% C.+5% 2、 10KV及以下电力变压器及电抗器的交流耐压试验周期为( )。 A、1——3年 B、1——5年 C、1——10年 D、1——12年 3、下列关于计量电能表安装要点的叙述中错误的是(B)。 A、装设场所应清洁、干燥、不受振、无强磁场存在 B、级有功电能表正常工作的环境温度要在0~40度之间 C、电能表应在额定的电压和频率下使用 D、电能表必须垂直安装 4、供用电设施计划检修时,对35KV及以上电压供电的用户的停电次数,每年不应超过(A)次;对10KV供电的用户,每年不应超过(C)次。

A、1 B、2 C、3 D、5 5、专线用户,用户进线侧有保护,在发生内部事故中造成变电站出线开关跳闸,应称为(A)。 A.用户事故 B.用户影响系统事故 C.线路停电事故 6、在电价低的供电线路上,擅自接用电价高的用电设备或私自改变用电类别的,应按实际使用日期补交其差额电费,并承担(B)差额电费的违约使用电费,使用日期难以确定的,实际使用时间按( )计算。 A、一倍 B、二倍 C、三倍 D、五倍 E、一个月 F、二个月 G、三个月 H、六个月 7、三相四线进线低压用户,进户线入口的零线辅助接地的作用是( )。 A.增加单相接地电流 B.防止烧损家电 C.降低接地电阻 8、当两只单相电压互感器按V/V接线,二次线电压 Uab=100V,Ubc=100V,Uca=173V,那么,可能电压互感器( )。 A.二次绕组A相或C相极性接反 B.二次绕组B相极性接反 C.一次绕组A相或C相极性接反 D.二次绕组B相极性接反

电子面试题目分解

各大公司电子类招聘题目精选 作者:javie[字体:大来源:人才聘任中小][日期:2005-11-3] 模拟电路 1、基尔霍夫定理的内容是什么?(仕兰微电子) 2、平板电容公式(C=εS/4πkd)。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知) 11、画差放的两个输入管。(凹凸)

12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的 运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点 rise/fall时间。(Infineon的笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和 R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低 通滤波器。当RC<

电子信息通信专业面试题.

电子信息通信专业面试题.txt26选择自信,就是选择豁达坦然,就是选择在名利面前岿然不动,就是选择在势力面前昂首挺胸,撑开自信的帆破流向前,展示搏击的风采。模拟电路 1、基尔霍夫定理的内容是什么?(仕兰微电子) 基尔霍夫电流定律是一个电荷守恒定律, 即在一个电路中流入一个节点的电荷与流出同一个节点的电荷相等. 基尔霍夫电压定律是一个能量守恒定律, 即在一个回路中回路电压之和为零. 2、平板电容公式(C=εS/4πkd 。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压Y+和Y-, 求共模分量和差模分量。(未知)

11、画差放的两个输入管。(凹凸) 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall时间。(Infineon笔试试题 15、电阻R 和电容C 串联,输入电压为R 和C 之间的电压,输出电压分别为 C 上电压和R 上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC<< period - setup ? hold 16、时钟周期为T, 触发器D1的建立时间最大为T1max ,最小为T1min 。组合逻辑电路最大延迟为T2max, 最小为T2min 。问,触发器D2的建立时间T3和保持时间应满足什么条件. (华为) 17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有 clock的delay, 写出决定最大时钟的因素,同时给出表达式。(威盛VIA 2003.11.06 上海笔试试题) 18、说说静态、动态时序模拟的优缺点。(威盛VIA 2003.11.06 上海笔试试题) 19、一个四级的Mux, 其中第二级信号为关键信号如何改善timing 。(威盛VIA2003.11.06 上海笔试试题) 20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入, 使得输出依赖于关键路径。(未知)

电子工程师招聘笔试题及详细解析.doc

一、基础题(每空 1 分,共 40 分) 1、晶体三极管在工作,射和集均于正向偏置,晶体管工作在和 _状。 1. 截止状态:基极电流 Ib=0 ,集电极电流 Ic=0 , b-ePN 结临界正向偏置到反向偏置,b-cPN 结反向偏置。 2. 放大状态:集电极电流随基极电流变化而变化, Ic= βIb , b-ePN 结正向偏置, b-cPN 结反向偏置。 3. 饱和状态:集电极电流达到最大值,基极电流再增加集电极流也不会增加,这时的一个特征是b-ePN 结、 b-cPN 结都正向偏置 2、 TTL 的入端空,上相当于接高平。 3、 TTL 路的源5V, CMOS路的源3V-18V 。 4、在 TTL 路的一个入端与地之接一个10K阻,相当于在入端入 低平;在CMOS路的入端与源之接一个1K阻,相当于在入端入高平。 5、二制数() 2 成十六制数是D2。 6、路按其出信号入信号响的不同,可以分合路和序路两大。 7、成一个模60 的数器,至少需要 6 个触器。 一个触发器相当于一位存储单元,可以用六个触发器搭建异步二进制计数器,这样最多能计63 个脉冲 8、在数字路中,三极管工作在截止和和状。 9、一个路的出端能同的个数称扇出系数。 10、使用与非多余的入脚接高平,使用或非多余的入脚接低平。 与非门:若当输入均为高电平(1),则输出为低电平(0);若输入中至少有一个为低电平(0),则输出为高电平(1)。所以多余的输入脚接高电平或非门:若当输入均为低电平(1),则输出为高电平(0);若输入中至少有一个为高电平(0),则输出为低电平(1)。所以多余的输入脚接低电平 11、片阻上的103 代表10k 。 12、USB支持控制、同步、中断和批量等四种模式。 13、一个色阻,如果第一色是色,第二色是色,第三色是黄色,第四色是金色,阻 的阻是220k± 10%。 14、MOV A, 40H 指令于源超作数的址方式是直接址。 指令中直接出操作数地址(dir )的址方式称直接址。以寄存器中的内容地址,地址的内容操 作数的址方式称寄存器接址 15、 8051 系列单片机的 ALE信号的作用是地址存控制信号。 Address lock enable:地址锁存允许端 15、MCS-8051系列片机字是 ______位。 16、一个 10 位地址、 8 位出的 ROM,其存容量。 17、列和的区是 _________。 18、do?? while 和 while ?? do 的区是 _______。 19、在算机中,一个字所包含二制位的个数是______。 20、8051 复位后, PC=______。若希望从片内存器开始行,EA 脚接 ______ 平, PC超 ______

相关文档
相关文档 最新文档