文档库 最新最全的文档下载
当前位置:文档库 › USB-chirp序列测试

USB-chirp序列测试

USB-chirp序列测试
USB-chirp序列测试

USBchirp信号测试

1 信号及原理分析

1.1 KJ信号说明

USBchirp信号分为K信号和J信号。根据USB速率将chirp信号做如下区别:

RenGE注:

不同的速率模式,对于K、J的形态定义是不同的。

DP表示D+ PIN,DM表示D- PIN。

SE0是一种D+和D-都为0电平的特殊状态。多用于表示End-Of-Packet。

1.2 USB全速高速识别过程分析

根据规范,全速(Full Speed)和低速(Low Speed)很好区分。因为在设备端有一个1.5k的上拉电阻,当设备插入hub或上电(固定线缆的USB设备)时,有上拉电阻的那根数据线就会被拉高,hub根据D+/D-上的电平判断所挂载的是全速设备还是低速设备。

USB全速/低速识别相当简单,但USB2.0,USB1.x就一对数据线,不能像全速/低速那样仅依靠数据线上拉电阻位置就能识别USB第三种速度——高速。因此对于高速设备的识别就显得稍微复杂些。

表1中图3展示了一个高速设备连接到USB 2.0的hub上的协商(negotiation)情形。

高速设备初始是以一个全速设备的身份出现的,即和全速设备一样,D+线上有一个1.5k的上拉电阻。USB2.0的hub把它当作一个全速设备,之后,hub 和设备通过一系列握手信号确认双方的身份。在这里对速度的检测是双向的,比如高速的hub需要检测所挂上来的设备是高速、全速还是低速,高速的设备需要检测所连上的hub是USB2.0的还是1.x的,如果是前者,就进行一系列动作切到高速模式工作,如果是后者,就以全速模式工作。

hub检测到有设备插入/上电时,向主机通报,主机发送Set_Port_Feature请求让hub复位新插入的设备。设备复位操作是hub通过驱动数据线到复位状态SE0(Single-ended 0,即D+和D-全为低电平),并持续至少10ms。

高速设备看到复位信号后,通过内部的电流源向D-线持续灌大小为17.78mA 电流。因为此时高速设备的1.5k上拉电阻还未撤销,在hub端,全速/低速驱动器形成一个阻抗为45欧姆(Ohm)的终端电阻,2电阻并联后仍是45欧姆左右的阻抗,所以在hub端看到一个约800mV的电压(45欧姆*17.78mA),这就是Chirp K信号。Chirp K信号的持续时间是1ms~7ms。

在hub端,虽然下达了复位信号,并一直驱动着SE0,但USB2.0的高速接收器一直在检测Chirp K信号,如果没有Chirp K信号看到,就继续复位操作,

直到复位结束,之后就在全速模式下操作。如果只是一个全速的hub,不支持高速操作,那么该hub不理会设备发送的Chirp K信号,之后设备也不会切换到高速模式。

设备发送的Chirp K信号结束后100us内,hub必须开始回复一连串的KJKJKJ....序列,向设备表明这是一个USB2.0的hub。这里的KJ序列是连续的,中间不能间断,而且每个K或J的持续时间在40us~60us之间。KJ序列停止后的100~500us内结束复位操作。hub发送Chirp KJ序列的方式和设备一样,通过电流源向差分数据线交替灌17.78mA的电流实现。

再回到设备端来。设备检测到6个hub发出的Chirp 信号后(3对KJ序列),

它必须在500us内切换到高速模式。切换动作有:

1.断开1.5k的上拉电阻;

2.连接D+/D-上的高速终端电阻(high-speed termination),实际上就是全速/低速差分驱动器;

3.进入默认的高速状态。

执行1,2两步后,USB信号线上看到的现象就发生变化了:hub发送出来的Chirp KJ序列幅值降到了原先的一半,400mV。这是因为设备端挂载新的终端电阻后,配上原先hub端的终端电阻,并联后的阻抗是22.5欧姆。400mV就是由17.78mA*22.5Ohm得来。以后高速操作的信号幅值就是400mV而不像全速/低速那样的3V。

至此,高速设备与USB2.0 hub握手完毕,进行后续的480Mbps高速信号通信。

1.3信号测试及判断注意事项

1.高速检测握手协商是在主机发出复位(reset)信号期间,由设备发起的,由

主机响应的过程;

2.主机使用SE0状态reset设备之后需要使用高速握手协商(chirp handshake)

才可以再次正常通信;

3.主机使用全速的idle状态suspend设备之后需要通过resume过程使设备进入

工作状态,这个过程不需要高速握手协商;

4.全速/低速模式时主机resume设备的是通过保持K状态20ms;高速下这20ms

的K状态以转换成SE0状态后结束,然后主机和设备在两次低速位(2 low speed bit times)内必须保持在高速终端模式;

5.DEVICE_REMOTE_WAKEUP feature,该特性用来在设备被挂起(suspend)

后,主机可以使用resume信号来唤醒设备。该特性是主机使用SET_FEATURE 和CLEAR_FEATURE请求对进行设置和清除的。

2 测试表格及结果分析

表1 USB chirp信号测试表:实测过程中黄色波形为D+,绿色对应D-

基于高速传输

接口电路

同步模式为实测波形的后半部分红圈所

如实测波形图,

图红圈的放大部分,可见,

1.

2.

3.

4.

800mV->400mV

800mV

电平

主机复位设备

NA

USB chirp信号测试表(续):

主机挂起设备

正常,高电平值

主机唤醒设备

NA

(完整版)项目测试规范

项目测试规范 编 制 : 审 核 : 批 准 : 文 件 编 号 : 版 本 号 : v1.0 秘 密 等 级 :普通级 发 出 部 门 : 颁 发 日 期 : 年 月 日 发 送 至 : 抄 送 : 总 页 数 : 页 附 件 : 主 题 词 :

文件更改历史更改日期版本号更改原因

目录 1编写目的 (4) 2测试团队构成 (4) 2.1职责 (4) 2.2角色划分 (4) 3工作流程及规范 (5) 3.1计划与设计阶段 (5) 3.1.1成立测试团队 (5) 3.1.2测试预通知 (5) 3.1.3召开测试启动会议 (5) 3.1.4编写测试计划文档 (6) 3.1.5设计测试用例 (6) 3.2实施测试阶段 (7) 3.2.1实施测试用例 (7) 3.2.2提交报告 (7) 3.2.3回归测试 (8) 3.3总结阶段 (8) 3.3.1编写测试报告 (8) 3.3.2测试工作总结 (9) 3.3.3测试验收 (9) 3.3.4测试归档 (10) 3.4缺陷跟踪 (10) 4缺陷类型定义 (11) 5测试标准 (12) 6争议处理 (12) 7标准文档 (12)

1编写目的 本文档是测试团队的日常工作规范,主要侧重测试工作流程的控制,明确软件工程的各阶段测试团队应完成的工作。测试技术和策略等问题不在本文档描述范围内。 2测试团队构成 2.1职责 测试是软件开发过程中的重要组成部分,肩负着如下责任: ?在项目的前景、需求文档确立基线前对文档进行测试,从用户体验和测试的角度提出自己的看法。 ?编写合理的测试计划,并与项目整体计划有机地整合在一起。 ?编写覆盖率高的测试用例。 ?针对测试需求进行相关测试技术的研究。 ?认真仔细地实施测试工作,并提交测试报告供项目组参考。 ?进行缺陷跟踪与分析。 2.2角色划分 在人力资源有限的情况下,一个团队成员可能会同时承担多个角色。

序列检测器

实验三有限状态机进行时序逻辑电路设计 学院:物理与电子科学学院专业:应用电子技术班级: 1007班姓名: xxx 学号: xxxxxxxxxxxxx 一,实验目的: (1)掌握利用有限状态机实现一般时序逻辑分析标的方法; (2)掌握用Verilog编写可综合的优先状态机的准模板; (3)掌握用Verilog编写状态机模板的测试文件的一般方法; 二,实验内容: 序列检测器:将一个指定的序列从数字码流中识别出来。 设计一个能够识别序列“10010”的序列检测器,设:x为数字码流输入,z为检测标记输出,且高电平表示“发现指定序列”,低电平表示“没有发现指定序列”。 考虑码流为“110010010000100101…” 完成序列“10010”检测功能电路模块的Verilog程序编写,和测试模块程序的编写. “10010”序列检测电路的状态转移图如下: 其中状态A-E表示5位序列“10010”按顺序正确出现在码流中。考虑到序列重叠的可能,

转换图中还有状态F,G。另外,电路的初始状态设为IDLE. 三,实验程序 (1)功能模块: module fim (x,z,clock,reset,,state); input clock,reset,x; output z; output[2:0]state; reg [2:0]state; wire z; parameter Idle='d0 ,A='d1, B='d2,C='d3, D='d4,E='d5, F='d6,G='d7; assign z=(state==D&&x==0)?1:0; always @(posedge clock) if(!reset) begin state<=Idle; end else case(state) Idle:if(x==1) begin state<=A; end else begin state<=Idle; end A:if(x==0) begin state<=B; end else begin state<=A; end B:if(x==0) begin state<=C; end else begin state<=F; end C:if(x==1) begin state<=D; end else begin state<=G; end D:if(x==0) begin state<=E; end else begin state<=A; end E:if(x==0) begin state<=C; end else begin state<=A; end F:if(x==1) begin state<=A; end else begin state<=B; end G: if(x==0) begin state<=G; end else begin state<=F; end default: state<=Idle; endcase endmodule

软件测试规范标准[详]

软件测试规 1目的 确保软件产品质量,使产品能够顺利交付和通过验收的一项重要措施。 2适用围 适用于项目开发过程中的单元测试、集成测试、系统测试、业务测试、验收测试以及一些专项测试。 3职责 ?项目测试负责人组织编制《测试计划》、《测试方案》,指导和督促测试人员完成各阶段的测试工作。 ?项目组测试人员按照《测试计划》、《测试方案》完成所承担的测试任务,并按要求填写《问题报告及维护记录》。 ?测试经理依照确认规程和准则对工作产品进行确认,提出对确认规程和准则的修改意见 ?项目负责人组织测试环境的建立。 ?项目经理审核负责控制整个项目的时间和质量。 ?研发人员确认修改测试人员提交的bug。 4工作流程 4.1 测试依据 详细设计是模块测试的依据。因此设计人员应向测试人员提供《系统需求规格书名书》、《详细设计》、《概要设计》等有关资料。测试人员必须认真阅读,真正弄懂系统需求和详细设计。 4.2 制订《测试方案》 在测试之前,由项目负责人根据《测试计划》的要求,组织人员编制相应的《测试方案》,《测试方案》应包括以下容:

?测试目的; ?所需人员及相应培训要求; ?测试环境、工具和测试软件; ?测试用例、测试数据和预期的结果。 4.3 单元测试 项目开发实现过程中,每个程序单元(程序单元的划分视具体开发工具而定,一般定为函数或子程序级)编码调试通过后,要及时进行单元测试。 单元测试由单元开发者自己进行,使用白盒测试方法,根据程序单元的控制流程,争取达到分支覆盖。对于交互式运行的产品,不便于进行自动测试的,可以采用功能测试的方法进行。 单元测试针对程序模块,从程序的部结构出发设计测试用例。多个模块可以独立进行单元测试。 ?单元测试容包括模块接口测试、局部数据结构测试、路径测试、错误处理测试等; ?单元测试组织原则一遍根据开发进度安排对已开发完成的单一模块进行测试; ?单元测试停止标准:完成了所有规定单元的测试,单元测试中发现的bug已经得到修改。 4.4 集成测试 编码开发完成,项目组部应进行组装测试。 集成测试由项目负责人组织策划(编写测试计划、测试用例)并实施。集成测试着重对各功能模块之间的接口进行测试,验证各功能模块是否能协调工作、参数传递及功能调用是否正常。测试采用交叉方法,即个人开发的软件应由其他的项目组成员进行测试。 集成测试过程应填写《问题报告及维护记录》,测试结果应形成《测试报告》。 4.5 系统测试 在项目开发完成之后,应对整个系统软件和硬件进行系统测试。对性能、可靠性、健壮性、压力承受力等方面分别进行评价,以验证系统是否满足

设计一个1010的序列检测器

二、设计一个1010的序列检测器,检测到1010时输出为“1”否则为“0”,用D触发器实现。 第一步:根据要求进行逻辑抽象,得出电路的原始状态转换图和状态转换表。 取输入数据变量为X,检测的输出变量为Z, 该同步时序逻辑电路的功能是检测输入序列是否为1010,一旦输入序列出现一个1就记下来,因为这个1可能是1010序列的第一个1,;接着看输入是否为0,因为10是序列1010的前两位;其次再看输入是否为1,因为101是1010序列的前三位;最后再输入一个0,输出则为1,因为出现了一个1010序列,泽电路必须记住1,10,101,1010四种输入情况,每一种输入情况应与电路的一个状态相对应。 根据题意,设电路随机的输入和输出序列为: X:0 1 0 1 0 0 0 1 0 1 0 1 0…… Z: 0 0 0 0 1 0 0 0 0 0 1 0 0…… 该电路仅有一个输入端,每个现态有两个可能转移方向,设电路初态为S0,当X=0时,电路仍处在状态S0,当输入一个1以后的状态为S1,输入10以后的状态为S2,输入101以后的状态为S3,输入1010以后的状态为S4。以S n表示电路的现态,S n+1表示电路的次态。 由此得出原始状态转换图和原始状态转换表:

第二步:状态化简: 依据状态等效条件判断得出S0和S4在相同的输入条件下,它们转换到相同的次态去,且有相同的输出,故S0和S4等效,经分析比较,找出最大等效类:{S1},{S2},{S3},{S0,S4}。 由此得出化简的状态转换图和最简状态表: 第三步:状态编码: 最贱状态表共有四种状态,可用两位二进制代码来表示,设状态变量为Q1,Q2,依据状态编码原则,确定S0=00,S1=01,S2=11,S3=10四种状态,其编码后的状态转换图和状态转换表:

华为客户可靠性测试标准

1 测试标准框架 1.1 整体框架 1.2 测试样品数 1.3 不同工艺测试项选择 2 外观等级面划分 2.1 外观等级面定义 3 测量条件及环境的要求 3.1 距离 3.2 时间 3.3 位置 3.4 照明 3.5 环境 4 表面处理可靠性测试方法 4.1 膜厚测试 4.1.1 试验目的 4.1.2 试验条件 4.1.3 合格判据 4.2 抗MEK(丁酮)测试 4.2.1 试验目的 4.2.2 试验条件 4.2.3 程序 4.2.4 合格判据 4.3 附着力测试 4.3.1 试验目的 4.3.2 试验条件 4.3.3 程序 4.3.4 合格判据 4.3.5 等级描述说明 4.3.6 测试工具 4.4 RCA纸带耐磨测试 4.4.1 试验目的 4.4.2 试验条件 4.4.3 程序 4.4.4 合格判据 4.5 酒精摩擦测试 4.5.1 试验目的 4.5.2 试验条件 4.5.3 程序 4.5.4 合格判据 4.6 橡皮摩擦测试 4.6.1 试验目的 4.6.2 试验条件 4.6.3 程序 4.6.4 合格判据 4.7 振动摩擦测试 4.7.1 试验目的 4.7.2 试验条件 4.7.3 程序 4.7.4 合格判据 4.7.5 说明 4.8 铅笔硬度测试

4.8.1 试验目的4.8.2 试验条件4.8.3 程序 4.8.4 合格判据4.8.5 测试工具4.9 抗脏污测试 4.9.1 试验目的4.9.2 试验条件4.9.3 程序 4.9.4 合格判据4.10 牛顿笔测试 4.10.1 试验目的4.10.2 试验条件4.10.3 程序 4.10.4 合格判据4.10.5 说明 4.11 显微维氏硬度测试4.11.1 试验目的4.11.2 试验条件4.11.3 程序 4.11.4 合格判据4.12 耐化妆品测试 4.12.1 试验目的4.12.2 试验条件4.12.3 程序 4.12.4 合格判据4.13 耐手汗测试 4.13.1 试验目的4.13.2 试验条件4.13.3 程序 4.13.4 合格判据4.13.5 说明 4.14 低温存储 4.14.1 试验目的4.14.2 试验条件4.14.3 程序 4.14.4 合格判据4.15 高温存储 4.1 5.1 试验目的4.15.2 试验条件4.15.3 程序 4.1 5.4 合格判据4.16 交变湿热 4.16.1 试验目的4.16.2 试验条件4.16.3 程序 4.16.4 合格判据4.17 温度冲击 4.17.1 试验目的4.17.2 试验条件4.17.3 程序

序列检测器

目录 第一章设计方案.........................................................1 1.1设计任务..........................................................1 1.2设计要求..........................................................1 1.2.1整体功能要求.................................................1 1.2.2测试要求.....................................................1 第二章设计思路.........................................................2 2.1数字频率计介绍....................................................2 2.2设计原理..........................................................2 2.2.1频率测量的基本原理...........................................2 2.2.2整体方框图及原理.............................................2 2.2.3序列器结构框图...............................................2 第三章模块介绍.........................................................4 3.1顶层文件模块......................................................4 3.1.1顶层文件原理.................................................4 3.1.2顶层文件模块verilog语言描述程序.............................4 3.2伪随机序列发生器模块..............................................4 3.2.1伪随机序列发生器.............................................4 3.2.2伪随机序列发生器原理.........................................5 3.2.3伪随机序列发生器模块verilog语言描述程序.....................6

可靠性测试规范

手机可靠性测试规范 1. 目的 此可靠性测试检验规范的目的是尽可能地挖掘由设计,制造或机构部件所引发的机构部分潜在性问题,在正式生产之前寻找改善方法并解决上述问题点,为正式生产在产品质量上做必要的报证。 2. 范围 本规范仅适用于CECT通信科技有限责任公司手机电气特性测试。 3. 定义 UUT (Unit Under Test) 被测试手机 EVT (Engineering Verification Test) 工程验证测试 DVT (Design Verification Test) 设计验证测试 PVT (Product Verification Test) 生产验证测试 4. 引用文件 GB/T2423.17-2001 盐雾测试方法 GB/T 2423.1-2001 电工电子产品环境试验(试验Ab:低温) GB/T 2423.2-1995 电工电子产品环境试验(试验Bb:高温) GB/T 2423.3-1993 电工电子产品环境试验(试验Ca:恒定湿热) GB/T 2423.8-1995 电工电子产品环境试验(自由跌落) GB/T 2423.11-1997 电工电子产品环境试验(试验Fd: 宽频带随机振动) GB 3873-83 通信设备产品包装通用技术条件 《手机成品检验标准》XXX公司作业指导书 5. 测试样品需求数 总的样品需求为12pcs。 6. 测试项目及要求 6.1 初始化测试 在实验前都首先需要进行初始化测试,以保证UUT没有存在外观上的不良。如果碰到功能上的不良则需要先记录然后开始试验。在实验后也要进行初始化测试,检验经过实验是否造成不良。具体测试请参见《手机成品检验标准》。 6.2 机械应力测试 6.2.1 正弦振动测试 测试样品: 2 台

序列检测器

序列检测器功能:将一个指定序列从数字码流中识别出来。本例中将设计一个“10010”序列的检测器。设X为数字码流的输入,Z为检测出标记输出,高电平表示发现指定的序列10010.考虑码流为110010010000100101....则,如表有: 夏宇闻老师教材序列检测器 夏宇闻老师教材序列检测器 moduleseqdet ( input wire x, input wire clk, input wire rst, output wire z ); reg [2:0] state;

localparam IDLE = 3'd0, A = 3'd1, B = 3'd2, C = 3'd3, D = 3'd4, E = 3'd5, F = 3'd6, G = 3'd7; assign z = (state == D && x==0)?1'b1:1'b0;//状态为D时又收到输入0,表明10010已经收到,输出为1 always @ (posedgeclk,negedgerst) if(!rst) begin state<= IDLE; end else casex(state) IDLE: if(x==1) state <= A; //状态A记住第一位正确高电平1来过

else state <= IDLE; //输入的是低电平,不符合要求,所以状态保留不变A: if(x==0) state <= B; //状态B记住第二位正确低电平0来过 else state <= A; //输入的是低电平,不符合要求,所以状态保留不变B: if(x==0) state <= C; //状态C记住第三位正确低电平0来过 else state <= F; //输入高电平,不符合要求,F记住只有1位对过 C: if(x==1) state <= D; //状态D记住第四位正确高电平1来过 else state <= G; //输入低电平,不符合要求,G记住没有1为曾经对过D: if(x==0) state <= E; //状态E记住第五位正确低电平0来过 else state <= A; //输入的是高电平,不符合要求,记住只有一位来过,回到状

软件测试实用标准要求规范

软件测试标准规范 1目的 为了确保软件产品质量,使产品能够顺利交付和通过验收,特编写本文档,以作参考 2适用范围 本文档适用于项目开发过程中的单元测试、集成测试、系统测试、业务测试、验收测试以及一些专项测试。 3职责 项目测试负责人组织编制《测试计划》、《测试方案》,指导和督促测试人员完成各阶段的测试工作。 项目组测试人员按照《测试计划》、《测试方案》完成所承担的测试任务,并按要求填写《问题报告及维护记录》。 测试经理依照确认规程和准则对工作产品进行确认,提出对确认规程和准则的修改意见 项目负责人组织测试环境的建立。 项目经理审核负责控制整个项目的时间和质量。 研发人员确认修改测试人员提交的bug。 4工作流程 4.1测试依据 详细设计是模块测试的依据。因此设计人员应向测试人员提供《系统需求规格书名书》、《详细设计》、《概要设计》等有关资料。测试人员必须认真阅读,真正弄懂系统需求和详细设计。 4.2制订《测试方案》

在测试之前,由项目负责人根据《测试计划》的要求,组织人员编制相应的《测试方案》,《测试方案》应包括以下内容: 测试目的; 所需人员及相应培训要求; 测试环境、工具和测试软件; 测试用例、测试数据和预期的结果。 4.3单元测试 项目开发实现过程中,每个程序单元(程序单元的划分视具体开发工具而定,一般定为函数或子程序级)编码调试通过后,要及时进行单元测试。 单元测试由单元开发者自己进行,使用白盒测试方法,根据程序单元的控制流程,争取达到分支覆盖。对于交互式运行的产品,不便于进行自动测试的,可以采用功能测试的方法进行。 单元测试针对程序模块,从程序的内部结构出发设计测试用例。多个模块可以独立进行单元测试。 单元测试内容包括模块接口测试、局部数据结构测试、路径测试、错误处理测试等; 单元测试组织原则一遍根据开发进度安排对已开发完成的单一模块进行测试; 单元测试停止标准:完成了所有规定单元的测试,单元测试中发现的bug已经得到修改。 4.4集成测试 编码开发完成,项目组内部应进行组装测试。 集成测试由项目负责人组织策划(编写测试计划、测试用例)并实施。集成测试着重对各功能模块之间的接口进行测试,验证各功能模块是否能协调工作、参数传递及功能调用是否正常。测试采用交叉方法,即个人开发的软件应由其他的项目组成员进行测试。 集成测试过程应填写《问题报告及维护记录》,测试结果应形成《测试报告》。 4.5系统测试

11100101序列检测器

状态图: 状态表: VHDL: Library IEEE.; Use.ieee.std_logic_1164.all;

LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL; ENTITY SCHK IS PORT( DIN,CLK,CLR : IN STD_LOGIC ; --串行输入数据位/工作时钟/复位信号 AB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); --检测结果输出 END SCHK; ARCHITECTURE behav OF SCHK IS SIGNAL Q : INTEGER RANGE 0 TO 8 ; SIGNAL D : STD_LOGIC_VECTOR(7 DOWNTO 0); --8位待检测预置数 BEGIN

D <= "11100101 " ; --8位待检测预置数 PROCESS( CLK, CLR ) BEGIN IF CLR = '1' THEN Q <= 0 ; ELSIF CLK'EVENT AND CLK='1' THEN --时钟到来时,判断并处理当前输入的位CASE Q IS WHEN 0=> IF DIN = D(7) THEN Q <= 1 ; ELSE Q <= 0 ; END IF ; WHEN 1=> IF DIN = D(6) THEN Q <= 2 ; ELSE Q <= 0 ; END IF ; WHEN 2=> IF DIN = D(5) THEN Q <= 3 ; ELSE Q <= 0 ; END IF ; WHEN 3=> IF DIN = D(4) THEN Q <= 4 ; ELSE Q <= 0 ; END IF ; WHEN 4=> IF DIN = D(3) THEN Q <= 5 ; ELSE Q <= 0 ; END IF ; WHEN 5=> IF DIN = D(2) THEN Q <= 6 ; ELSE Q <= 0 ; END IF ; WHEN 6=> IF DIN = D(1) THEN Q <= 7 ; ELSE Q <= 0 ; END IF ; WHEN 7=> IF DIN = D(0) THEN Q <= 8 ; ELSE Q <= 0 ; END IF ; WHEN OTHERS => Q <= 0 ; END CASE ; END IF ; END PROCESS ; PROCESS( Q ) --检测结果判断输出 BEGIN IF Q = 8 THEN AB <= "1010" ; --序列数检测正确,输出“A” ELSE AB <= "1011" ; --序列数检测错误,输出“B” END IF ;

8位序列检测器的设计

八位序列检测器设计 摘要:序列检测器多用于通信系统中对禁用码的检测,或者是对所需信号的提取,即一旦检测到所需信号就输出高电平,这在数字通信领域有广泛的应运。本文介绍了一种采用单片PGA 芯片进行脉冲序列检测器的设计方法,主要阐述如何使用新兴的EDA 器件取代传统的电子设计方法,利用FPGA 的可编程性,简洁而又多变的设计方法,缩短了研发周期,同时使设计的电路体积更小功能更强大。本次课程设计设计出能够检测序列“”的序列检测器,并以此来描述序列检测器的设计过程和基于FPGA 的软件仿真。最后通过QuartusII 的波形输出对设计方案进行检测,在硬件调试经检测输出正确设计符合要求。 关键词: VHDL 序列检测QuartusⅡFPGA Abstract:Sequence detector system used for communication on the detection code disabled, or is the extraction of the desired signal, that is, once detected, the required high output signal, which in the broad field of digital communications to be transported. This paper presents a single FPGA chip with the detector pulse sequence design method, mainly on how to us e new device to replace the traditional EDA electronic design, the use of FPGA's programmability, concise and changing the design method shortens the development cycle, while allowing smaller circuit design and more powerful. The curriculum is designed to detect sequence "" sequence detectors, and detector in order to describe the sequence of the design process and FPGA- based software simulation. Finally, the output of the waveform QuartusII design testing, debugging the hardware design has been tested and meet the requirements of the correct output. Keywords:VHDL Sequence detection QuartusⅡFPGA

IEC61215-2005-测试序列

IEC61215:2005测试序列 8 Modules Preconditioning(5 kWh·m-2)(预处理) 10.1Visual inspection(外观检查) 10.2Maximum powerdetermination(最大功率确定) 10.3Insulation test(绝缘试验) 10.15Wet leakage current test(湿漏电流试验) 1 Module Control 1 Module 10.14Measurement oftemperature coefficients(温度系数测量)10.5NOCT(电池组件的标称工作温度测量) 10.6Performanceat STC and NOCT (标称工作温度和标准测试条件下的性能) 10.7Performanceat low irradiance (低辐照度下的性能)10.8Outdoor exposure test(60 kWh·m-2)(户外爆晒试验)10.18Bypass diodethermal test(旁路二极管热性能试验)10.9Hot-spotendurance test(热斑耐久试验) 10.15Wet leakage current test(湿漏电流试验) 2 Modules

10.10UV Preconditioning test(15 kWh·m-2)(紫外预处理试验)10.11Thermal cycling test(50 cycles,-40 °C to + 85 °C)(热循环50试验) 10.12Humidity freeze test(10 cycles,-40 °C to + 85°C,85 % RH)(湿-冻试验) 1 Module 10.14Robustness ofterminations test(引出端强度试验) 2Modules 10.15Wet leakage current test(湿漏电流试验) 2 Modules 10.11Thermal cycling test(200 cycles,-40 °C to + 85 °C)(热循环200试验) 10.15Wet leakage current test(湿漏电流试验) 2 Modules 10.13Damp heat test(1000 h,85 °C,85 % RH)(湿-热试验)10.15Wet leakage current test(湿漏电流试验) 1 Module 10.16Mechanicalload test(机械载荷试验) 1 Module 10.17Hail test(冰雹试验)

可靠性测试标准

丝印、喷油产品测试要求 1.0目的 指导检查员正确地进行可靠性测试,保证本公司产品满足客户品质要求。 2.0适用范围 适用于本公司生产的所有需丝印、喷油加工产品的可靠性测试。 3.0定义 3.1.可靠性:即产品在规定条件下进行的环境模拟测试,其品质特性和耐受性能达到规定的要求。 3.2.测试周期,即在往返测试中,往返各一次为一个测试周期。 3.3.单项测试:即每一个产品有多项测试要求时每一个部件只完成其中的一项测试。 3.4.多项测试:即每一个产品有多项测试要求时,每一个部件要完成2个或以上的测试项目。4.0职责 检查员应按此指引作业,保证产品达到客户的品质要求。 5.0工作步骤 5.1产品的丝印、喷油可靠性测试(包括没有明确测试要求的产品) 5.1.1测试材料及工具 5.1.1.1 78%浓度的酒精 5.1.1.2 95%浓度的酒精 5.1.1.3 200g的铁锤 5.1.1.4 粗纹的干净白布 5.1.1.5 3M 600测试胶纸 5.1.1.6 界刀 5.1.1.7 恒温恒湿炉 5.1.1.8 RCA纸带测试机 5.1.1.9 测试专用纸带 5.1.1.10 热熔胶 5.1.1.11剪钳 5.1.2 酒精测试(每次测试1—2PCS) 5.1.2.1 把粗纹的干净白布包在200g的铁锤上,包好之后用95%浓度的酒精浸润,然后将此浸润后的铁锤在丝印字钮上水平移动来回摩擦,行程30mm,频率20周期(40次)/分钟,连续摩擦50周期(100次),(移印字钮用95%浓度的酒精进行测试)。 5.1.2.2 字钮之外的其它物料用78%浓度的酒清进行测试,方法同5.1.2.1 5.1.2.3 酒清测试接受标准:测试样品测试后不褪色,不脱油,无臌胀。 5.1.3 胶纸测试(每次测试2—4PCS) 5.1.3.1 胶纸测试方法:取样品平坦部分,用界刀纵横划100个1mmX1mm的小方格(如图1),丝印也需要划方格,深度以能见底材为准,不宜过深,过深刀口附近漆膜将会翻起,影响测试,然后用3M测试胶纸紧贴在上面,用手指肉体部分或橡皮压平,然后拉着胶纸尾部以90°角方向突然向上提起同一部位连续测试10次(如图2)。 5.1.3.2 胶纸测试接受标准: a.附著力=未脱落漆膜的方格数/100; b.每小格内如果漆膜脱落面积小于方格面积的1/5可视为未脱落(如图3) c.按前a,b点判定胶纸测试接受标准:附著力为100/100方为合格 5.1.4 高温高湿测试(每种货每天平均取样不少于测试3PCS,此测试当客户有要求时才做) 5.1.4.1 将塑胶喷油试样在过炉烘干4小时后存在温度为60±2°C,温度90%±3%之恒温恒湿炉中存放48H 5.1.4.2 高温高湿测试接受标准:室温后观察漆膜无皱纹、起泡、裂纹、剥落及明显的失光等现象 为合格(由于底材老化引起的变色,失色应不影响判定)。 5.1.5 RCA测试(现只有中建产品需做此项测试) 5.1.5.1 测试方法:用剪钳将需测试之胶件取较平坦处剪下2—3cm2 ,用热熔胶纸将其固定在RCA 纸带测试机上,将测试头对需测试位置,装好纸带,根据各种胶件测试规格的不同相应的

USB-chirp序列测试

USBchirp信号测试 1 信号及原理分析 1.1 KJ信号说明 USBchirp信号分为K信号和J信号。根据USB速率将chirp信号做如下区别: RenGE注: 不同的速率模式,对于K、J的形态定义是不同的。 DP表示D+ PIN,DM表示D- PIN。 SE0是一种D+和D-都为0电平的特殊状态。多用于表示End-Of-Packet。 1.2 USB全速高速识别过程分析 根据规范,全速(Full Speed)和低速(Low Speed)很好区分。因为在设备端有一个1.5k的上拉电阻,当设备插入hub或上电(固定线缆的USB设备)时,有上拉电阻的那根数据线就会被拉高,hub根据D+/D-上的电平判断所挂载的是全速设备还是低速设备。 USB全速/低速识别相当简单,但USB2.0,USB1.x就一对数据线,不能像全速/低速那样仅依靠数据线上拉电阻位置就能识别USB第三种速度——高速。因此对于高速设备的识别就显得稍微复杂些。

表1中图3展示了一个高速设备连接到USB 2.0的hub上的协商(negotiation)情形。 高速设备初始是以一个全速设备的身份出现的,即和全速设备一样,D+线上有一个1.5k的上拉电阻。USB2.0的hub把它当作一个全速设备,之后,hub 和设备通过一系列握手信号确认双方的身份。在这里对速度的检测是双向的,比如高速的hub需要检测所挂上来的设备是高速、全速还是低速,高速的设备需要检测所连上的hub是USB2.0的还是1.x的,如果是前者,就进行一系列动作切到高速模式工作,如果是后者,就以全速模式工作。 hub检测到有设备插入/上电时,向主机通报,主机发送Set_Port_Feature请求让hub复位新插入的设备。设备复位操作是hub通过驱动数据线到复位状态SE0(Single-ended 0,即D+和D-全为低电平),并持续至少10ms。 高速设备看到复位信号后,通过内部的电流源向D-线持续灌大小为17.78mA 电流。因为此时高速设备的1.5k上拉电阻还未撤销,在hub端,全速/低速驱动器形成一个阻抗为45欧姆(Ohm)的终端电阻,2电阻并联后仍是45欧姆左右的阻抗,所以在hub端看到一个约800mV的电压(45欧姆*17.78mA),这就是Chirp K信号。Chirp K信号的持续时间是1ms~7ms。 在hub端,虽然下达了复位信号,并一直驱动着SE0,但USB2.0的高速接收器一直在检测Chirp K信号,如果没有Chirp K信号看到,就继续复位操作, 直到复位结束,之后就在全速模式下操作。如果只是一个全速的hub,不支持高速操作,那么该hub不理会设备发送的Chirp K信号,之后设备也不会切换到高速模式。 设备发送的Chirp K信号结束后100us内,hub必须开始回复一连串的KJKJKJ....序列,向设备表明这是一个USB2.0的hub。这里的KJ序列是连续的,中间不能间断,而且每个K或J的持续时间在40us~60us之间。KJ序列停止后的100~500us内结束复位操作。hub发送Chirp KJ序列的方式和设备一样,通过电流源向差分数据线交替灌17.78mA的电流实现。 再回到设备端来。设备检测到6个hub发出的Chirp 信号后(3对KJ序列),

可靠性测试标准

Q/GSXH.Q. 质量管理体系第三层次文件1004.03-2001 可靠性试验规范

拟制:审核:批准: 海锝电子科技有限公司版次:C版 可靠性试验规范 1. 主题内容和适用范围 本档规定了可靠性试验所遵循的原则,规定了可靠性试验项目,条件和判据。 2. 可靠性试验规定 2.1 根据IEC国际标准,国家标准及美国军用标准,目前设立了14个试验项 目(见后目录〕。 2.2 根据本公司成品标准要求,用户要求,质量提高要求及新产品研制、工艺 改进等加以全部或部分采用上述试验项目。 2.3 常规产品规定每季度做一次周期试验,试验条件及判据采用或等效采用产 品标准;新产品、新工艺、用户特殊要求产品等按计划进行。 2.4 采用LTPD的抽样方法,在第一次试验不合格时,可采用追加样品抽样方 法或采用筛选方法重新抽样,但无论何种方法只能重新抽样或追加一次。 2.5 若LTPD=10%,则抽22只,0收1退,追加抽样为38只,1收2退。 抽样必须在OQC检验合格成品中抽取。 3.可靠性试验判定标准。

环境条件 (1)标准状态 标准状态是指预处理, 后续处理及试验中的环境条件。论述如下: 环境温度: 15~35℃ 相对湿度: 45~75% (2)判定状态 判定状态是指初测及终测时的环境条件。论述如下: 环境温度: 25±3℃ 相对湿度: 45~75% 4.试验项目。 目录 4.1 高温反向偏压试验------------------------------------ 第4页4.2 压力蒸煮试验------------------------------------ 第6页4.3 正向工作寿命试验------------------------------------ 第7页4.4 高温储存试验------------------------------------ 第8页4.5 低温储存试验------------------------------------ 第9页4.6 温度循环试验------------------------------------ 第10页4.7 温度冲击试验------------------------------------ 第11页4.8 耐焊接热试验------------------------------------ 第12页4.9 可焊性度试验------------------------------------ 第13页4.10 拉力试验------------------------------------ 第14页

设计一个的序列检测器完整版

设计一个的序列检测器 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

二、设计一个1010的序列检测器,检测到1010时输出为“1”否则为“0”,用D触发器实现。 第一步:根据要求进行逻辑抽象,得出电路的原始状态转换图和状态转换表。 取输入数据变量为X,检测的输出变量为Z, 该同步时序逻辑电路的功能是检测输入序列是否为1010,一旦输入序列出现一个1就记下来,因为这个1可能是1010序列的第一个1,;接着看输入是否为0,因为10是序列1010的前两位;其次再看输入是否为1,因为101是1010序列的前三位;最后再输入一个0,输出则为1,因为出现了一个1010序列,泽电路必须记住1,10,101,1010四种输入情况,每一种输入情况应与电路的一个状态相对应。 根据题意,设电路随机的输入和输出序列为: X: 0 1 0 1 0 0 0 1 0 1 0 1 0…… Z: 0 0 0 0 1 0 0 0 0 0 1 0 0…… 该电路仅有一个输入端,每个现态有两个可能转移方向,设电路初态为 S 0,当X=0时,电路仍处在状态S ,当输入一个1以后的状态为S 1 ,输入10以后的状 态为S 2,输入101以后的状态为S 3 ,输入1010以后的状态为S 4 。以S n表示电路的现 态,S n+1表示电路的次态。 由此得出原始状态转换图和原始状态转换表:

依据状态等效条件判断得出S 0和S 4 在相同的输入条件下,它们转换到相同的 次态去,且有相同的输出,故S 0和S 4 等效,经分析比较,找出最大等效类:{S 1 }, {S 2},{S 3 },{S ,S 4 }。 由此得出化简的状态转换图和最简状态表: 最贱状态表共有四种状态,可用两位二进制代码来表示,设状态变量为Q 1 , Q 2,依据状态编码原则,确定S =00,S 1 =01,S 2 =11,S 3 =10四种状态,其编码后的状态 转换图和状态转换表:

四位序列检测器(完整版)

四位序列计数:(例:四位数为:1011) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY jcq IS PORT(clk,X:IN STD_LOGIC; Z:OUT STD_LOGIC); END jcq; ARCHITECTURE archjcq OF jcq IS TYPE state_type IS(S0,S1,S2,S3,S4); SIGNAL present_state,next_state:state_type; BEGIN state_comb:PROCESS(present_state,X) BEGIN CASE present_state IS WHEN S0=>Z<='0'; IF X='1' THEN next_state<=S1; ELSE next_state<=S0; END IF; WHEN S1=>Z<='0'; IF X='0' THEN next_state<=S2; ELSE next_state<=S1; END IF; WHEN S2=>Z<='0'; IF X='1' THEN next_state<=S3; ELSE next_state<=S0; END IF; WHEN S3=>Z<='0'; IF X='1' THEN next_state<=S4; ELSE next_state<=S2; END IF; WHEN S4=>Z<='1'; IF X='1' THEN next_state<=S1; ELSE next_state<=S2; END IF; END CASE; END PROCESS state_comb; state_clk:PROCESS(clk) BEGIN IF clk'EVENT AND clk='1'THEN present_state<=next_state; END IF; END PROCESS state_clk; END archjcq; 状态图

相关文档