文档库 最新最全的文档下载
当前位置:文档库 › 北京理工大学数电期末试卷(含答案)

北京理工大学数电期末试卷(含答案)

北京理工大学数电期末试卷(含答案)
北京理工大学数电期末试卷(含答案)

课程编号:ELC06011 北京理工大学2010-2011学年第二学期

2009级数字电子技术基础B 期末试题A 卷

注:试题答案必须书写在答题纸上,在试题和草稿纸上答题无效。

班级 学号 姓名 成绩

一、(20分)填空

1.在如下门电路中,哪些输出端能够直接互连 bcde 。若输出端不能互连,为什么? 输出都呈现低阻抗,如果相连,如果一个门工作在高电平,

一个门工作在低电平,会使两个门内部形成过电流而损坏器件67

a ) 普通TTL 门电路;

b )普通CMOS 门电路;

c )OC 门;

d )三态输出门;

e )OD 门。

2.一个4位D/A 转换器的分辨率为 1/15 1/(2^n-1) ,若参考电压V REF = 6V ,当输入码为0110时,输出电压为 6/16*(8*0+4*1+2*1+1*0)=2 V 。

3.存储容量为2K ×8位的随机存储器,地址线为 11(2的几次方就是十几根) 根,数据线为 8 根;若用1K ×4位的RAM 来实现上述存储容量,需要 4 片。

4.A/D 转换器一般需要经过采样、保持、 量化 、 编码 4个过程。 5.单稳态触发器输出脉冲的频率取决于 ,输出脉冲的宽度取决于 。 6.施密特触发器有 2 个稳定状态,单稳态触发器有 1 个稳定状态,多谐振荡器 0 个稳定状态。

7.ROM 设计的组合逻辑电路如图T1所示,写出逻辑函数0Y 和1Y 的表达式。

0Y = ∑(m1,m2,m6) ,1Y = ∑(m0,m1,m5) 。

A B 0Y 1

Y 0W 1W 2W 3W C

4W 5W 6W 7

W

图T1

二、(10分)

将下列各式化简为最简与或式,方法不限。 1.CD D AC ABC C A F 1+++=

2.CD B BCD A C B A D C AB F 2+++=,约束条件:

答案略 三、(10分)

已知图T3中(a )(b )(c )为TTL 门电路,(d )(e )为CMOS 门电路,分别写出各电路的输出状态(0或1或高阻)或输出表达式。

V Ω

1001

Y

A

B C D

R V CC

2

IL

V 3

Y IH

V 0

(a ) 高电平 V L 代表低电平(b )cmos ,ABCD (c )高阻

TG 4

Y A B

5

Y IH

V Ω

k 10

(d ) CMOS 高阻 (e )高电平

图T3

四、(10分)

试用一片4位并行加法器74LS283(图T4)和异或门设计一个加/减法运算电路。当控制信号M=0时,实现输入的两个四位二进制数相加(Y3Y2Y1Y0=A3A2A1A0+B3B2B1B0);当M=1时,实现输入的两个四位二进制数相减(Y3Y2Y1Y0=A3A2A1A0-B3B2B1B0)。

图T4

关键:减法为补码+1

五、(10分)

编码器74LS148和数据选择器74LS151构成的逻辑电路如图T5所示,当输

7654321000001010

D D D D D D D D=,

7654321011111111

D D D D D D D D=,试分别写出所示电路输出F的表达式(要求有分析过程)。74LS148和74LS151功能表分别如表T5-1和T5-2所示。

D

1

D

D

D

D

D

D

D

图T5

个人建议将常用器件逻辑关系式记下来

可以知道74LS148编码器,74LS151数据选择器

对于数据选择器

简单可知,例如输出D0就是A2A1A0,对于D1就是A2A1A0

那么我们简单写出其逻辑表达式

表T5-1 74LS151功能表

F=EN(D0A1A2A0+D1A2A1A0.....略) 接下来是编码器 观察其输出为0的点

Y2=I7+I6I7+I5 I6I7+I4 I5 I6I7 其余同理,不做赘述 分别代入D0-D7,得到输出

六、(15分)

电路如图T6所示,其中Ω==k 10R R 21,F .C μ10=。

1.说明555定时器构成电路的名称,计算输出o u 的频率o f ,并计算输出o u 的占空比q 。多谐振荡器,占空比q=R1/(R1+R2) f=1/[(R1+2*R2)*C *ln2] 2.分析由触发器FF0、FF1、FF2构成的时序电路的功能,要求写出驱动方程、状态方程,输出方程,画出状态转换图,检查电路能否自启动,并说明电路功能。

8

4

531

26

7V

CC

R 1

R

2

VD1

VD2

C

u o

C1

Q Q

1D C1

Q Q 1D C1

Q Q 1D Y

555FF0

FF1

FF2

Q 0

Q 1

Q 2

10k Ω

10k Ω

0.1F

μ

图T6

首先是D 触发器,=D

先写出Q0,Q1,Q2,以及D0,D1,D2 D0=Q1Q2 D1=Q1⊕Q2 D2=Q0Q2

= = =

列出真值表

000 001 001

010

010011

011100

100000

弥补不全的

101010

110010

111100

画出状态转换图

因为形成环路,可以自启动

功能相当于五进制计数器

输出Y=

七、(15分)

图T7所示是用两片四位同步二进制加法计数器74LS161接成的计数器。74LS161的功能表见表T7所示。

1.试分析电路接成的是几进制计数器,两片之间是几进制?

2.是同步计数器还是异步计数器?异步(CP非同一时钟)

3.输出Y与脉冲CP的频率比? 1:16

4.画出第二片74LS161(II)的状态转换图。

P

CT

T

CT

CP

CO

LD

CR

(I

161

LS

74

Q

1

Q

2

Q

3

Q

D

1

D2D

3

D

P

CT

T

CT

CP

CO

LD

CR

(II

161

LS

74

Q

1

Q

2

Q

3

Q

D

1

D

2

D

3

D

V

CP

Y

图T7

表T7 74LS161的功能表

CP

CR LD

P CT T

CT 工作状态?

??

???

?

??

0111

10111

101

1

置零预置数保持保持(但CO =0)

计数

其中:0123T Q Q Q Q CT ????=CO

片I 的Q2与片II 的Q2都为1时置零,片1进位时激活片2,那就是说片I 从0000----1111片2走1,不难看出片2为0100时,片1为0100时置零,那就是4X16+4=68位,片1为16位,片II 为4位

八、(10分)

试用JK触发器设计一个三位计数器,其状态转换表如表T8所示。(要求写明设计过程)。

计数顺序

电路状态进位输出

C 3

Q

2

Q

1

Q

00000

10010

20110

30100

41100

51110

61010

71001

80000

表T8

参考书上P156

进位CO=Q2Q1Q0

利用JK触发器

=J+K

根据时序图做卡诺图

00011110 0001(对应n+1)011010110 1000100101111观察JK触发器公式

我们分别对Q2,Q1,Q0

00011110 00001 10111

= Q0

=Q0

=Q1+Q1

代入

J2=1 K2= Q0

J1=Q0 K1=1

J0=Q1 K0=Q1

连接略

CO

..........我是红领巾,别谢我

北京邮电大学数电实验一实验报告

北京邮电大学数字电路与逻辑 设计实验 学院: 班级: 作者: 学号:

实验一 Quartus II原理图输入法设计 一、实验目的: (1)熟悉Quartus II原理图输入法进行电路设计和仿真 (2)掌握Quartus II 图形模块单元的生成与调 (3)熟悉实验板的使用 二、实验所用器材: (1)计算机 (2)直流稳压电源 (3)数字系统与逻辑设计实验开发板 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模 块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能, 并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 ,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、设计思路和过程 (1)半加器的设计 半加器电路是指对两个输入数据位进行加法,输出一个结果位和进位,不产生进位输入的加法器电路。是实现两个一位二进制数的加法运算电路。数据输入AI被加数、BI加数,数据输出SO和数(半加和)、进位C0。 在数字电路设计中,最基本的方法是不管半加器是一个什么样的电路,按组合数字电路的分析方法和步骤进行。 1.列出真值表 半加器的真值表见下表。表中两个输入是加数A0和B0,输出有一个是和S0,另一个是进位C0。

2 该电路有两个输出端,属于多输出组合数字电路,电路的逻辑表达式如下函数的逻辑表达式为:SO=AI⊕BI CO=AB 所以,可以用一个两输入异或门和一个两输入与门实现。

安徽建筑大学数电期末考试(试卷A).doc

总分—=四五六七八 阅卷 复核 安徽建筑大学试卷(A卷)第1页共4页 (2013—2014学年第2学期)适用年级专业:电气、自动化、测控专业 考试课程:数字电子技术基础A 班级:学号: __________________________ 姓名: 一、填空题:(每空1分,共20 注 . 学 生 不 得 在 草 稿 纸 上 答 题, 答 题 不 得 超 出 框 体1 .十进制数3. 625的二进制数和8421 BCD码分别为() () 2.三态门输出的三种状态分别为:()、()和(). 3.主从型JK触发器的特性方程. 4.用4个触发器可以存储()位二进制数. 5.逻辑函数Y = + C的两种标准形式分别为()、 和 (). 6.将2015个“1”异或起来得到的结果是()? 是脉冲的整形电路。 8.JK 触发器、当JK二10, Q*=(),JK二11 旦Q二0,则Q*= () 9.二进制负整数-1011011,反码表示为()补码表示为( ) 10.对500个符号进行二进制编码,则至少需要()位二进制数。 11.SR触发器的特性方程为(),( )。 12.如用OV表示逻辑1, -1OV表示逻辑0,这属于()逻 辑。 二、选择题:(每题2分,共20分) :Q _ 勺 CP Q - Q I I AB C D ()2单稳态触发器的输出脉冲的宽度取决于() A.触发脉冲的宽度 B.触发脉冲的幅度 C.电路本身的电容、电阻的参数 D.电源电压的数值 ()3.下图所示施密特触发器电路中,它的回差电压等于多少 A、2v B、5v C、4v D、3v ,I ----------- ZV 8 4 s—— 6 2 555 3 (1) 1 5 -L 1+4V ()4.请判断以下哪个电路不是时序逻辑电路: A、计数器 B、寄存器 C、数据比较器 D、触发器 ()5.某电路的输入波形Ui和输出波形赤如下图所示,贝IJ

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

安徽大学期末试卷安徽大学数字电子技术考试试题A2010(定稿).doc

安徽大学2009—2010学年第二学期 《数字电子技术》考试试卷(A 卷) (闭卷 时间120分钟) 一、填空题(每空1分,共15分) 1、十进制数73.75的二进制数为__1001_001________,8421BCD 码为_01110011,01110101___________________ 2、当TTL 与非门的输入端悬空时相当于输入为 电平。 3、在数字电路中,不论哪一种逻辑门电路,其中的关键器件是MOS 管或BJT ,它们均可以作为_________器件。 4、时序逻辑电路在CP 脉冲作用下,由无效状态自动回到有效序列称为电路具有 _________。 5、TTL 反相器的输入级由BJT 构成,输出级采用推拉式结构,其目的是为了________________和增强带负载的能力。 6、当七段显示译码器的输出为高电平有效时,应选用共_____极数码管。 7、用4个触发器可以存储________位二进制数。 8、如果对键盘上108个符号进行二进制编码,则至少要______位二进制数码。 9、时序逻辑电路分为同步时序和_____________两大类。 10、几个集电极开路与非门(OC 门)输出端直接相连,配加负载电阻后实现_______功能。 11、表达式C B C B A F +=能否产生竞争冒险 (可能/不可能)。 12、表达式C AB F +=,用与非门实现的表达式是 。 13、一个四位串行数据,输入四位移位寄存器,时钟脉冲频率为1kHz ,经过 ms 可转换为4位并行数据输出。 14、多谐振荡器有 个稳定状态。 院/系 年级 专业 姓名 学号 答 题 勿 超 装 订 线 ------------------------------装----------------------------------- ----------订----------------------------------------线----------------------------------------

安徽建筑大学数电期末考试(试卷A)

安 徽 建 筑 大学 试 卷( A 卷) 第 1 页 共 6 页 ( 2014—2015学年第2 学期 ) 适用年级专业:电气、自动化、测控专业 注 :学 生 不 得 在 草 稿 纸 上 答 题,答 题 不 得 超 出 框

( )3.下图所示施密特触发器电路中,它的回差电压等于多少 A.2v B.5v C.4v D.3v ( )4.请判断以下哪个电路不是时序逻辑电路: A.计数器 B.寄存器 C.数据比较器 D.触发器 ( )5.某电路的输入波形 Ui 和输出波形Uo 如下图所示,则该电路为: A.施密特触发器 B.反相器 C.单稳态触发器 D.JK 触发器 ( )6.已知逻辑函数 C B C A AB Y '+'+= 与其相等的函数为: A.AB B. C A AB '+ C.C B AB '+ D.C AB + ( )7.下列触发器中上升沿触发的是( )。 A.主从RS 触发器; B.JK 触发器; C.T 触发器; D.D 触发器 ( )8.下列几种A/D 转换器中,转换速度最快的是。 A.并行A/D 转换器 B.计数型A/D 转换器 C.逐次渐进型A/D 转换器 D.双积分A/D 转换器 ( )9.单稳态触发器的输出脉冲的宽度取决于( ) A .触发脉冲的宽度 B .触发脉冲的幅度 C .电路本身的电容、电阻的参数 D .电源电压的数值 ( )10. 指出下列电路中能够把串行数据变成并行数据的电路是( )。 A .JK 触发器 B .3/8线译码器 C .移位寄存器 D .十进制计数器 三、逻辑函数化简及形式变换:(共15分,每题5分) 1.(代数法化简为最简与或式)CD ACD ABC C A F +++'='1 2.(卡诺图法化简逻辑函数) υ

安徽大学数字电路试卷与答案06~07A

安徽大学20 06 —20 07 学年第 2 学期 《 脉冲与数字电路 》考试试题参考答案及评分标准(A) 一、单项选择题(每小题2分,共8分) 二、填空题(每小空分,共6分) 三、分析题(第1小题6分,第2小题10分,第3小题 10分,第4小题15分,共41分) 1. 解:555定时器构成的施密特触发器。 (2分) (6分) 2. 解:置入的数据322310,,1,0D Q D Q D D ====,1LD Q =。 (3分) 由此列出状态转移表。 (8分) 电路实现模9的计数分频。 (10分) 3. 解:(1)当0k =时,0(03)i i i B k B B i ⊕=⊕==,0i C = 一组数是3210A A A A ,另一组数是3210B B B B ,电路实现两组数的加法功能。 (4分) (2)当1k =时,1(0 3)i i i B k B B i ⊕=⊕== ,1i C = (6分) 一组数是3210A A A A ,另一组数是3210B B B B 取反加1,电路实现两组数的减法功能。 (10分) 4.解:驱动方程 132********,1 ,1,1 n n n n n J Q Q K J Q K Q Q J K ====== (3分) 状态转移方程和输出方程 输入 S 闭合 S 断开 A C V 1 V 2 V 1 V 2 0 0 0 0 1 1 1

11321[]n n n n Q Q Q Q CP +=?↓ 1212312[]n n n n n n Q Q Q Q Q Q CP +=+?↓ (7分) 1332[]n n Q Q Q +=?↓ 2 3n n Z Q Q = (11分) 状态转移表 电路为模7异步计数器,具有自启动功能。 (15分) 四、设计题(第1小题10分,第2小题15分,第3小题20分,共45分) 1. 解:CT74160是十进制计数器,共有10个计数状态。实现模8计数要跳过2个状态,因此数据输入端 接0010,满值输出取反作为置入控制信号LD 。(5分) (10分) 2. 解:状态转移表 (2分) 状态转移方程和输出方程

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

数电期末试卷及答案(共4套)汇编

XX大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1.逻辑函数Y AB C =+的两种标准形式分别为 ()、()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。 7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门” 来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、 B、C的P、Q波形。

三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。 四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分)

安徽大学离散数学(上)试卷及参考答案

安徽大学20 09 — 20 10 学年第 1 学期 《 离散数学 》考试试卷(A 卷) (时间120分钟) 院/系 专业 姓名 学号 一、单项选择题(每小题2分,共20分) 1. 设:P 天没下雪,:Q 我去镇上,则命题“天正在下雪,我没去镇上”可符号化为( ) A.Q P ?→?; B. P Q ?→?; C.Q P ?∧; D. Q P ?∧?。 2.下列命题是重言式的是( ) A.)()(P Q Q P →∧→; B. )()(Q P P Q P ???∧; C. )(Q P Q P →→∧; D. Q P R Q P ∧?∧?∨→))((。 3. 设解释R 如下:论域D 为实数集,0=a ,y x y x f -=),(,y x y x f <=),(。下列公式在R 下为真的是( ) A.))),(),,((),((z y f z x f A y x A z y x →???; B.)),,((a x a f xA ?; C.)),,((x y x f yA x ??; D.))),,((),((a a x f A y x A y x →??。 4. 对任意集合,,A B C ,下列结论正确的是( ) A. C A C B B A ???∧?][; B. C A C B B A ∈??∧∈][; C. C A C B B A ???∧∈][; D. C A C B B A ∈?∈∧?][。 5. 关于},,{c b a X =到}3,2,1{=Y 的函数{,1,,1,,3}f a b c =<><><>,下列结论不正确的是( ) A 、1 ({3}){}f c -=; B 、1(3)f c -=; C 、 ({}){3}f c =; D 、()3f c =。 6. 设I 为整数集合,则I 上的二元关系}4|||,{=-><=y x y x R 具有( ) A.自反性和对称性; B.反自反性和对称性; C.反自反性和传递性; D.反对称性和传递性。 7. 设R 为非空集合A 上的关系R 的逆关系,则下列结论不成立的是( ) A.若R 为偏序,则R 为偏序; B.若R 为拟序,则R 为拟序; C.若R 为线序,则R 为线序; D.若R 为良序,则R 为良序。

2016年北邮数电实验报告

数字电路与逻辑设计 实验报告 学院:电子工程学院 班级: 姓名: 学号: 班内序号:

目录 (一)实验名称及实验任务要求 (1) (二)模块端口说明及连接图 (2) 1.1实验三(3)模块端口说明 (2) 1.2实验三(3)连接图 (2) 2.1实验四模块端口说明 (2) 2.2实验四连接图 (2) (三)原理图或VHDL代码 (3) 1.实验一(2)原理图 (3) 2.实验三(3)VHDL代码 (4) 3.实验四VHDL代码 (7) (四)仿真波形 (10) 1.实验一(2)仿真波形 (10) 2.实验三(3)仿真波形 (11) 3.实验四仿真波形 (11) (五)仿真波形分析 (11) 1.实验一(2)仿真波形分析 (11) 2.实验三(3)仿真波形分析 (11) 3.实验四仿真波形分析 (11) (六)故障及问题分析 (12) (七)总结和结论 (13)

(一)实验名称及实验任务要求 实验一 名称:QuartusII原理图输入法设计与实现 实验任务要求:EDA基础实验1(1)、(2)、(3)必做,选做VHDL 实现加法器。 实验二 名称:用VHDL设计与实现组合逻辑电路 实验任务要求:四人表决器、8421码转格雷码、数码管译码器(下载测试)。 实验三 名称:用VHDL设计与实现时序逻辑电路 实验任务要求:分频器、8421十进制计数器、将分频器/8421十进制计数器/数码管译码器3个电路进行连接并下载。 实验四 名称:用VHDL设计与实现相关电路 实验任务要求:数码管动态扫描控制器、点阵扫描控制器。

(二)模块端口说明及连接图 1.1实验三(3)模块端口说明 cp:时钟信号输入; rst:8421十进制计数器异步置位; c[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 1.2实验三(3)连接图 2.1实验四模块端口说明 cp:时钟信号输入; rst:8421计数器异步复位; lgt[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 2.2实验四连接图

安徽大学数电试卷

安徽大学2008—2009学年第二学期 《数字电子技术》考试试卷(A 卷) (闭卷 时间120分钟) 一、填空题(每空2分,共20分) 1、CMOS 逻辑门电路是目前应用最广泛的逻辑门电路。其优点是集成度高,_________________,扇出数大,噪声容限亦大,开关速度较高。 2、TTL 反相器的输入级由BJT 构成,输出级采用推拉式结构,其目的是为了________________和增强带负载的能力。 3、在数字电路中,不论哪一种逻辑门电路,其中的关键器件是MOS 管或BJT ,它们均可以作为___________器件。 4、逻辑门电路的主要技术参数有输入和输出高、低电平的最大值或最小值,传输延迟时间,功耗,________________,扇入数和扇出数。 5、锁存器和触发器都是具有存储功能的逻辑电路,是构成时序电路的基本单元,每个锁存器或触发器都能存储_______位二值信息。 6、触发器是对时钟脉冲____________敏感的电路。 7、当一个逻辑门的两个输入端的信号同时向相反方向变化,而变化的时间有差异的现象称为竞争,由竞争而可能产生输出干扰的现象称为___________。 8、在逻辑体制中有正、负逻辑的规定,正负逻辑可以等效变换,对于正逻辑的“与非”功能,变换成负逻辑相当于“______________”功能。 9、时序逻辑电路分为同步时序和_____________两大类。 10、同步时序电路的设计,首先根据逻辑功能的需求,导出原始状态图或原始状态表,有必要时需进行_______________,继而对状态进行编码,然后根据状态表导出激励方程组和输出方程组,最后画出逻辑图完成设计任务。 院/系 年级 专业 姓名 学号 答 题 勿 超 装 订 线 ------------------------------装------------------------------------ ---------订----------------------------------------线----------------------------------------

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

安徽大学2010-2011-2数电期末试题_B (2)

安徽大学20 10 —20 11 学年第 二 学期 《脉冲与数字电路》考试试卷( B 卷) (闭卷 时间120分钟) 考场登记表序号 1. 2. 3. 4. 5. 6. 7. ) 特性。 A. 延时和定时 B. 计数与寄存 C. 整形与变换 D. 滞后特性 二、填空题(每题2分,共10分) 1. Y AB A C =+,Y 的最小项表达式为 Y = 。 2. 逻辑函数F A B CD =++的反函数F = 。 院/系 年级 专业 姓名 学号 答 题 勿 超 装 订 线 ------------------------------装---------------------------------------------订----------------------------------------线----------------------------------------

3. 对于逻辑函数F AB AC BC =++,为了化简,利用逻辑代数的基本定理,可表示为F AB AC =+,但这可能引起 现象,因为在11B C ==,时,化简前逻辑函数的值恒为1,但化简后逻辑函数的值为A A +。 4. 一个5位二进制加法计数器,由00000开始,经过201个输入脉冲后,此计数器的状态为 。 5. 要构成32k×16位的RAM ,需要8片8k×8的RAM 芯片,并需有__________根地址线。 1. 2. (F

四、分析题(每题10分,共30分) 1. 写出下图所示电路中灯L 与开关A 、B 、C 的与或逻辑表达式。(设开关合上状态为1,断开状态为0;灯亮状态为1,灯灭状态为0). L 院/系 年级 专业 姓名 学号 答 题 勿 超 装 订 线 ------------------------------装---------------------------------------------订----------------------------------------线----------------------------------------

北邮数电实验报告

北京邮电大学实验报告 实验名称:数字电路与逻辑设计实验报告 学院:信息与通信工程学院 班级: 姓名: 学号: 序号: 日期:

实验三:用VHDL语言设计与实现逻辑电路 一、实验内容 1. 用VHDL语言设计实现一个带异步复位的8421码十进制计数器,仿真验证其功能,并下载到实验版测试。要求用按键设定输入信号,发光二极管显示输出信号; 2.用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器,仿真验证其功能; 3.将(1),(2)和数码管译码器3个电路进行连接,并下载到实验板显示计数结果。 二、模块端口说明及连接图 1.分频器 2. 计数器 clk: 时钟输入信号 clk: 时钟信号输入 clear: 复位信号输入 clear: 复位信号输入 clk_out: 时钟分频后的信号输出 q: 计数器的输出 3.数码管显示 b: 数码管的输入信号 seg: 译码显示输出 onoff: 数码管的输出控制

4.连接图 三、实验分析 1.设计思路 本实验将之前的分频器和计数器以及数码管显示模块组合起来,实现了单个数码管现显示0~9,每隔0.5s切换一次显示内容。 COMPONENT div_12实现了时钟分频,将50MHz的单片机晶振时钟进行分频,输出频率2HZ占空比50%的方波时钟,以此时钟作为内部时钟驱动计数器。 COMPONENT jishuqi是一个十进制计数器,NUM从“0000”到“1001”循环变化,模为10。计数器的输出传递给数码管译码显示电路。 COMPONENT seg7_1是数码管译码显示电路,将收到的信号NUM译码并控制数码管的段锁存来控制数码管的显示。 整体来看,div-12提供了分频后2Hz的时钟,驱动计数器计数,计数的结果作为数码管译码显示模块的输入,根据计数器实时的数进行数码管的显示。综合起来就实现了设计的功能。 在进行电路的连接时,可直接在代码中分成三个进程来实现,也可通过为每个模块建立符号,连接电路图来实现。 2. 具体代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpinjishu IS PORT( clear2 :IN STD_LOGIC; clk1:IN STD_LOGIC; b1:OUT STD_LOGIC_VECTOR(6 downto 0); CAT:OUT STD_LOGIC_VECTOR(7 downto 0) );

北邮数电实验分析报告-信息

北邮数电实验报告-信息

————————————————————————————————作者:————————————————————————————————日期:

数字电路与逻辑设计实验 姓名*** 学院信息与通信工程学院 专业信息工程 班级*** 学号**** 班内序号***

实验一 一、实验名称和实验任务要求 1.实验内容:QuartusII原理图输入法设计与实现。 2.实验目的: (1)熟悉用QuartusII原理图输入法进行电路设计和仿真。 (2)掌握QuartusII图形模块单元的生成与调用。 (3)熟悉实验板的使用。 3.实验任务要求: (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图像模块。 (2)用实验内容1中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板上测试。要求用拨码开关设定输入信 号,发光二极管显示输出信号。 (3)用3线—8线译码器(74LS138)和逻辑门实现函数 F=(/)(/)(/)+(/)(/)+(/)(/)+,仿真验证其功能,并下载到实 验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 二、原理图 半加器模块和逻辑门设计实现的全加器: 三、仿真波形图及分析 电路实现了全加器的功能。全加器是实现两个1位二进制数及低位来的进位相加求得和数及向高位进位的逻辑电路。由其原理可得逻辑表达式:sum=ain⊕bin⊕cin

cout = (ain⊕bin)cin + ain*bin。 列出真值表: 输入输出 ain bin cin cout sum 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 仿真波形对比真值表,可以看出波形图与理论值完全符合。 四、故障及问题分析 1、问题:按照逻辑表达式连接了全加器电路后,仿真波形很乱。 解决方法:思考后知道了应该把输入信号依次设成2的n次方,这样的仿真波形清楚容易分析。 2、问题:把代码下载到板子上的过程中,进行到37%的时候停了,等了2分钟 也没继续下载。 解决方法:再次重连USB尝试下载,手紧握着接线口,下载成功了,分析可能是接线口接触不好。 实验二 一、实验名称和实验任务要求 1.实验内容:用VHDL设计与实现组合逻辑电路。 2.实验目的: (1)熟悉用VHDL语言设计组合逻辑电路的方法。 (2)熟悉用QuartusII文本输入法进行电路设计。 3.实验任务要求: (1)用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个‘1’时,输出为‘1’,否则输出‘0’,仿真验证其功能,并下载到实验板测 试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 (2)用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号, 发光二极管显示输出信号。

北邮-数电实验报告

北邮-数电实验报告

数字电路实验报告 学院:信息与通信工程 专业:信息工程 班级:2013211125 学号:2013210681 姓名:袁普

②:仿真波形图以及分析 波形图: 波形分析:通过分析ab ci三个输入在8中不同组合下的输出,发现与全加器的真值表吻合,说明实现了全加器的逻辑功能。同时看见波形中出现了毛刺(冒险),这也与事实一致。 ③:故障及问题分析 第一次在做全加器的时候发现找不到已经生成的半加器模块,后来发现是因为在建立工程时这两个项目没有建在同一个文件夹里,在调用的时候就找不到。后来我将全加器工程建在同一个文件夹里解决了此问题。

实验二:用VHDL设计和实现组合逻辑电路 一:实验要求 ①:用VHDL设计一个8421码转换为格雷码的代码转换器,仿真验证其功能。 ②:用VHDL设计一个4位二进制奇校验器,要求在为奇数个1时输出为1,偶数个1时输出为0,仿真验证其功能。 ③:用VHDL设计一个数码管译码器,仿真验证其功能,下载到实验板测试,要求用拨码开关设定输入信号,数码管显示输出信号,并且只使一个数码管有显示,其余为熄灭状态。 二:故障及问题分析 在刚开始实现让一个数码管显示的时候,我本来准备再设置6个输入和输出,通过实验板上的拨码来输入信息分别控制不同的数码管的的开闭状态,但是后来发现这样效率很低而且实验板上的拨码开关数量根本不够。在老师的提醒下,我最终在VHDL里直接增加了一个向量输出”011111”来直接控制cat0~5六个管脚,从而达到了实验的要求。

实验三:用VHDL设计和实现时序逻辑电路 一:实验要求 ①:用VHDL语言设计实现一个8421十进制计数器,要求有高电平复位功能,仿真验证其功能。 ②:用VHDL语言设计实现一个分频系数为12,输出为占空比50%方波的分频器,有高电平复位功能,仿真验证其功能。 ③:将(1),(2)和数码管译码器三个电路进行连接,仿真验证其功能,并下载到实验板进行测试,要求第三个数码管显示数字。二:报告内容 ①实验三(3)模块端口说明及模块代码 模块一:div12为一个有高电平复位功能的分频系数为12的分屏器,其输出是一个占空比50%的方波。此模块输入连接一个时钟输入,即可在输出端得到一个周期更大的方波输出。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div12 is port( clear,clk:in std_logic; clk_out:out std_logic ); end div12; architecture struct of div12 is signal temp:integer range 0 to 5; signal clktmp:std_logic; begin process(clk,clear) begin if(clear='1') then

安徽大学数字电路期末考试试题二

安徽大学数字电路期末考试试题二 一、填空题(30分每空2分) 1.二极管内含PN结,PN结在导电性能上最大特点是____________________________________________________. 2.TTL电路和CMOS电路相比较明显的特点是,工作速度上___________________________________________________,功耗上_________________________________________。 3.A/D转换是将模拟信号转换为数字信号,转换过程有_____________,_______________,_________________,__________. 4.要表达一个逻辑函数通常有_______________,_____________,________________,__________,_______________等常见的方法。 5.组合逻辑电路中容易产生竞争冒险,消除竞争冒险的方法有_________________,___________________,___________________。 二.画图题(10分) 1.画出如图所示理想二极管电路的输出电压波形(4分)

0 t 0 t 2.6分) u o t u o

三.计算题(10分) 1.将下列各数转换为二进制数 (48)10=()2 , (79)10=()2 (102)10=()2 2.将下列各数转换为十进制数 (11011001)2=()10,(1011011)2=()10 四.化间下列函数(15分) 1.Y=AB+ABD+A C+BC 2.Y(A,B,C,D)=∑m(2,3,6,7,14,15,11,10)+∑d(0,1) 3.Y=(A+B+C)(A+B+C)+C 五.设计分析题(35分) 1.分析下面电路的逻辑功。能要求写出驱动方程(3分)、状态方程(3分)、填写状态转换表(4分、)画状态转换图(2分)、功能总 结(1分)

数电期末试卷及复习资料

《数字电路》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 题 号 一 二 三 四(1) 四(2) 四(3) 四(4) 总 分 得 分 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数(147 ),作为8421BCD 码时, 它相当于十进制数( 93 )。 2.三态门电路的输出有高电平、低电平和(高电阻 )3种状态。 3.TTL 与非门多余的输入端应接( 高电平或悬空 )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( 高)电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7 )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( 5 )V ,其输出高电平为( 3.6 )V ,输出低电平为(0.35 )V , CMOS 电路的电源电压为( 3-18 ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( 10111111) 。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有( 16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100 )位。 11. 下图所示电路中, Y 1=( );Y 2 =( ;Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( 5 )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( 低 )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( A ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值 是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( A )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( C ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( A )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( A )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为(D )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( B ) A . 八 B. 五 C. 四 D. 三 A B Y 1 Y 2 Y 3 000 001 010 011 100 101 110 111

安徽大学历年物理期末试卷

安徽大学《普通物理》考试试卷 一、 选择题(共30分) 1.一质点在平面上作一般曲线运动,其瞬时速度为v ,瞬时速率为v , 某一时间内的平均速度为v ,平均速率为v ,它们之间的关系必定有 (A) v v v,v == . (B) v v v,v =≠ . (C) v v v,v ≠≠ . (D) v v v,v ≠= . [ ] 2.一质量为m 的滑块,由静止开始沿着1/4圆弧形光滑的木槽滑下.设木槽的质量也是m .槽的圆半径为R ,放在光滑水平 地面上,如图所示.则滑块离开槽时的速度是 (A) Rg 2. (B) Rg 2. (C) Rg . (D) Rg 2 1. (E) Rg 221. [ ] 3.在由两个物体组成的系统不受外力作用而发生非弹性碰撞的过程中,系统的 [ ] (A) 动能和动量都守恒. (B) 动能和动量都不守恒. (C) 动能不守恒,动量守恒. (D) 动能守恒,动量不守恒.

4.气体在状态变化过程中,可以保持体积不变或保持压强不变,这两种过程[] (A) 一定都是平衡过程. (B) 不一定是平衡过程. (C) 前者是平衡过程,后者不是平衡过程. (D) 后者是平衡过程,前者不是平衡过程. 5.某理想气体状态变化时,内能随体积的变化关系如图中 AB直线所示.A→B表示的过程是 (A) 等压过程.(B) 等体过程. (C) 等温过程.(D) 绝热过程.[] 6.在温度分别为327℃和27℃的高温热源和低温热源之间工作的热机,理 论上的最大效率为 (A) 25%.(B) 50% . (C) 75%.(D) 91.74%.[] 7.静电场中某点电势的数值等于 (A)试验电荷q0置于该点时具有的电势能. (B)单位试验电荷置于该点时具有的电势能. (C)单位正电荷置于该点时具有的电势能. (D)把单位正电荷从该点移到电势零点外力所作的功.[]8.两个半径相同的金属球,一为空心,一为实心,把两者各自孤立时的电容值加以比较,则 (A) 空心球电容值大.(B) 实心球电容值大. (C) 两球电容值相等.(D) 大小关系无法确定.[]

相关文档
相关文档 最新文档