文档库 最新最全的文档下载
当前位置:文档库 › 模电数电习题课

模电数电习题课

模电数电习题课
模电数电习题课

一、填空

1.二极管最主要的特性是 。

2. 晶体三级管用来放大时,应使发射结处于 偏置,偏置电压约 V(硅管)。

3.差分放大电路,若两个输入信号u I1 u I2,则输出电压,u O = ;若u I1=100μV ,u I2=80μV 则差模输入电压u Id = μV ;共模输入电压u Ic = μV 。

4. 在杂质半导体中,多数载流子的浓度主要取决于 ,而少数载流子的浓度则与 有很大关系。

5. BJT 是 控制器件,FET 是 控制器件。

6 . 共模抑制比K CMR 等于 之比,电路的K CMR 越大,表明电路 能力越强。

二、选择正确答案填空

1.在某放大电路中,测的三极管三个电极的静态电位分别为0 V ,-10 V ,-9.3 V ,则这只三极管是( )。

A .NPN 型硅管

B .NPN 型锗管

C .PNP 型硅管

D .PNP 型锗管

2.某场效应管的转移特性如图所示,该管为( )。

A .P 沟道增强型MOS 管

B 、P 沟道结型场效应管

C 、N 沟道增强型MOS 管

D 、N 沟道耗尽型MOS 管

3.通用型集成运放的输入级采用差动放大电路,这是因为它的( )。

A .输入电阻高 B.输出电阻低 C.共模抑制比大 D.电压放大倍数大

4. 如图,已知 β=50 欲使UCE=5v , 则UBB 应为( )

A .3.5v

B .2.1v

C .4.3V

5. 下面哪一种放大电路没有电压放大作用,但有电流放大作用

( )

A .共发射极放大电路;

B .共集电极放大电路;

C .共基极放大电路;

D .差分电路。

6. 既能放大电压,也能放大电流的是 组态放大电路;可以放大电压,但不能放大电流的是 组态放大电路;只能放大电流,但不能放大电压的是 组态放大电路。( )

A.共射 共集 共基

B.共集 共射 共基

C.共射 共基 共集

7.某NPN 型三极管的输出特性如图所示,当Uce=6V 时。其

电流 放大系数β为( )。

A 、β=100

B 、β=50

C 、β=150

D 、β=25

8.稳压二极管稳压时,其工作在( ),发光二极管发光

时,其工作在( )。

A .正向导通区

B .反向截止区

C .反向击穿区

9. 图中场效应管为( )

A 、增强型N 沟道

B 、增强型P 沟道

C 、耗尽型N 沟道

D 、耗尽型P 沟道

三、

1. 放大电路如下图所示,已知:V CC =12V ,R S =10k Ω,R B1=120k Ω, R B2=39k Ω,R C =3.9k Ω,R E =

2.1k Ω,R L =

3.9k Ω,r bb’=200Ω,电流放大系数β=50,电路中电容容量足够大,要求:

1.求静态值I BQ ,I CQ 和U CEQ (设U BEQ =0.6V ); 2.画出放大电路的微变等效电路; 3.求电压放大倍数A u ,源电压放大倍数A u s ,输入电阻R i ,输出电阻R o 。 4.去掉旁路电容C E ,求电压放大倍数A u ,输入电阻R i 。

解: (1) V 9.2212

B B B B =?+=C

C V R R R U V 3.2BE B E =-=U U U

m A 09.1E

E E C ==≈R U I I m A 02.0E

B ==βI I

V 46.5)(C E C CC CE =?+-=I R R V U Ω=+=k ..4109

12651200r be (3) 70)//(be L C -≈-

=r R R A u β Ω≈=k 1////be B2B1i r R R R Ω==k 9.3C O R R 817s

i i s o s .A R R R u u A u u -≈+== (4) 901E be L C u .R )(r )R //R (A -≈++-=ββ Ω≈++=k 443])1([E be B2B1i .R r //R //R R β

2. 在下面电路中,晶体管的 β=100, r ’bb =100Ω。

①画出电路的直流通路,并求出静态工作点。

②画出小信号模型电路,并求u A

、R i 、R o ③若射极电容开路,则将引起哪些参数变化? 如何变化?

解:

①U BQ =

21

21=?+Vcc R R R b b b v I EQ ≈I CQ =Re +-F BEQ BQ R U U ≈1mA I BQ = I EQ /(1+β)≈10A μ U CEQ =Vcc -I EQ (Rc +R F +Re)≈5.7v

R L

②r be =EQ

bb I m V r 26)1('β++≈2.73k Ω Fe

b L R r R u A )1('ββ++-= ≈-7.7 R i =R b1∥R b2∥(r be +(1+β)Re )≈3.7k Ω R o =R

c =5K Ω

③此时R i 将增大,u A 将下降,u A ≈-1.92

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

模电习题课(答案版)

1.当环境温度升高时,二极管的反向饱和电流I s将增大,是因为此时PN结内部的B 。 [ ] A 多数载流子浓度增大 B 少数载流子浓度增大 C 多数载流子浓度减小 D 少数载流子浓度减小 2. 某只硅稳压管的稳定电压Vz = 4v,其两端施加的电压分别为+5v(正向偏置)和-5v(反向偏置)时,稳压管两端的最终电压分别为 D 。[] A +5v和-5v B -5v和+4v C +4v和-0.7v D +0.7v和-4v ⒊根据某只晶体管的各极对地电压分别是V B = -6.3v,V E = -7v,V C = -4v,可以判定此晶体管是管,处于 B 。[] A NPN管,饱和区 B PNP管,放大区 C PNP管,截止区 D NPN管,放大区 ⒋场效应管起放大作用时应工作在其漏极特性的 B 。 [ ] A 非饱和区 B 饱和区 C 截止区 D 击穿区 ⒌在单级放大电路的三种接法中,它们相互比较起来正确的说法是: B 。 [ ] A 共发射极电路的A V最大、R I最小、R O最小 B 共集电极电路的A V最小、R I最大、R O最小 C 共基极电路的A V最小、R I最小、R O最大 D 共发射极电路的A V最小、R I最大、R O最大 ⒍直接耦合放大电路存在零点漂移的原因主要是 C 。 [ ] A 电阻阻值有误差 B 晶体管参数的分散性 C 晶体管参数受温度影响 D 受输入信号变化的影响 7.差分放大电路的长尾电阻的主要功能是 A ,而提高共模抑制比. [ ] A 抑制共模信号; B 抑制差模信号; C 放大共模信号; D 既抑制共模信号又抑制差模信号; 8.组合放大电路的输出级采用射极输出方式是为了使 D 。 [ ] A 电压放大倍数高 B 输出电流小 C 输出电阻增大 D 带负载能力强 9.集成运放电路的实质是一个 B 的多级放大电路。 [ ] A阻容耦合式 B直接耦合式 C 变压器耦合式 D 三者都有 10.放大电路在高频信号作用下放大倍数下降的原因是 B 。 [ ] A 耦合电容和旁路电容的影响 B 晶体管极间电容和分布电容的影响 C 晶体管的非线性特性 D 放大电路的静态工作点设置不合适 11.在输入量不变的情况下,若引入反馈后 D ,则说明引入的是负反馈。 [ ] A电路稳定性变差 B输出量增大 C 净输入量增大 D 净输入量减小 12、共发射极电路中采用恒流源做有源负载是利用其 B 的特点以获得较高增益。[] A 直流电阻大、交流电阻小 B 直流电阻小、交流电阻大 C 直流电阻和交流电阻都小 D 直流电阻大和交流电阻都大 13、在RC桥式正弦波振荡电路中,当满足相位起振条件时,则其中电压放大电路的放大倍数必须满足 D 才能起振。[]

数电课设报告1

通过20进制计数器的输出端的E、D信号控制移位寄存器的S0和S1及其CLR'端真值表

七、附录 555的内部结构 555定时器电路是一块介于模与数字电路的一种混合电路,由于这种特殊的地位,故5 55定时电路在报警电路、控制电路得到了广泛的应用。下图为555的内部电路,从图上可以看出,其仅有两个比较器、一个触发器、一个倒相器、放电管和几个电阻构成,由于比较器电路是一个模拟器,而触发器电路为数字电路,故其为混合器件。 555为一8脚封装的器件,其各引脚的名称和作用如下: 1脚—GND,接地脚 2脚—TL,低电平触发端 3脚—Q,电路的输出端 4脚—/R D,复位端,低电平有效 5脚—V_C,电压控制端 6脚—TH,阈值输入端 7脚—DIS,放电端 8脚—V CC,电源电压端,其电压范围为:3~18V 555的功能描述

上图中当V_C不外接电压时,三个电阻对电源电压进行分压,每个电阻上的压降为1/3 V CC,则两个比较器的同相端的输出电压分别为:1/3CC,2/3V CC。从图上可以看出,其555的工作可分为下列3种情况加以讨论: 1.当触发输入端TL输入电压低于1/3V CC而阈值输入端电压大于2/3V CC时,其下面比较器输出为高电平,触发器输出高电平; 2.当触发输入端TL输入电压高于1/3V CC,而阈值输入端电压小于2/3V CC时,其两个比较器输出皆为低电平,触发器输出保持不变; 3.当触发输入端TL输入电压高于1/3V CC而阈值输入端电压大于2/3V CC时,其上面比较器输出为高电平,触发器输出低电平。 当然你在上面讨论时可同时对放电管进行讨论其状态,这里没有讨论,详情可能见有关资料,从上面的讨论,可列出下列表格: 输入输出 TH TL/RD Q放电管状态 ××00导通>2/3V CC>1/3V CC10导通 <2/3V CC>1/3V CC1保持不变保持不变 >2/3V CC>1/3V CC10导通 <2/3V CC<1/3V CC11截止 一、芯片名称:同步可预置带清零二进制计数器 二、74LS163芯片的引脚图和引脚说明:

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

2017模电习题课复习

模电典型例题分析 第一章 题1.1 1、对某放大电路进行测试,u s=15mv,Rs=1kΩ,R L=12 kΩ。若测得ui=12 mv,则可知该放大电路的输入电阻Ri= kΩ。若当开关S断开时,测得uo=1.5v, 当开关S闭合时,测得uo=1.2v,则可知该放大电路的输出电阻Ro= kΩ。 2、对某放大电路进行测试,当接入一个内阻等于零的电压信号源时,测得输出电压为5V,在信号源内阻增大到1,其它条件不变时,测得输出电压为4V, 说明该放大电路的输入电阻Ri= ______kΩ。若在接有2负载电阻时,测得输 出电压为3V,在输入电压不变的情况下断开负载电阻,输出电压上升到7.5V,说明该放大电路的输出电阻Ro= kΩ。 3、用两个放大电路A和B分别对同一个电压信号进行放大,当输出端开路时,U OA=U OB;都接入负载电阻R L时,测得U OA

=8.26和=2.5 第二章 题2.1 1.如图所示电路,已知集成运放开环差模电压增益为∞,其电源电压±VCC=±14V ,Ui=1V ;R1=10k,Rw=100k 。请问:当Rw 滑动端分别在最下端、最上端和中点时时,输出Uo =?V ; 解:14V ,1V ,6(7)V 2.如图所示电路,已知集成运放开环差模电压增益为∞,其电源电压±VCC=±14V ,Ui=1V ;R1=10k,R2=200k 。请问: 当R2滑动端在最左端、最右端、中点时输出Uo =?V ; 最左端时Uo = -14 V ;最右端时Uo = 0 V ;中点时Uo = -10 V 。 题 2.2 在题图所示的放大电路中,已知Ω=====k R R R R R 1087521, Ω===k R R R 201096∶ ① 列出1O u 、2O u 和O u 的表达式; ② 设V u I 3.01=,V u I 1.02=,则输出电压?=O u s i s i i v v R R R += L o L i O o R R R A V +=v v s v v o = VS A L o L o R R R A +=v

数电课程设计报告

数电课程设计报告 姓名:李鹏鹏 学号:04113063 指导老师:董瑞军

目录 1.概述 ---------------------------------------------------3 2.原理图 --------------------------------------------------3 3.FPGA与ADC0809VHDL控制程序 ------------------------------3 4.FPGA中储存模块 -----------------------------------------7 5.储存器控制模块 ----------------------------------------8 6.FPGA与DAC0832的连接和控制 ------------------------------11 7.分频模块 ---------------------------------------14 8.顶层模块设计 ----------------------------------------15 9.RTL视图 ----------------------------------------------18 10.研究体会 -----------------------------------------------19

一.概述 课题要求通过FPGA对A/D和D/A转换的控制,使得FPGA的输入量和输出量一致,根据原理设计出如下框架图。本报告主要内容从A/D转换器(ADC0809)前端的测温电路开始,经过取样保持电路,详细介绍了A/D转换器与FPGA芯片VHDL控制程序,以及FPGA的对数据的储存和控制模块,之后说明D/A转换器(DAC0832)控制程序和转换器后端的电路图,并完成顶层模块设计以各模块,主要过程在计算机上进行仿真,报告中附以详尽说明的仿真波形和统计报告。 二.原理图 若模拟信号变化速度较快,需要在A/D之前加入采样保持电路,以保证转换精度。在这里选择LF398(LF398是一个专用的采样保持芯片,它具有很高的直流精度和较高的采样速率,器件的动态性能和保持性能可以通过合适的外接保持电容达到最佳。)模拟输入量U0(t)从IP进入,采样输出量从OP口输出至ADC0809的IN口(IN0-IN7的选择由ADDA-ADDC决定),逻辑输入控制端与START连接。ADC0809和FPGA的连接和FPGA和DAC0832的连接如图,而在DAC0832后端,由于输出的为模拟电流量,若需输出电压量,则可加入如图电路。 三.FPGA与ADC0809VHDL控制程序 ADC0809的引脚图如下 IN0-IN7模拟量输入通道 ADDA,ADDB,ADDC---输入通道选择地址,按其状态选择输入通道。

模电课程总结

模电课程总结报告 一学期模电课也终将结束了,而我对模电这门课也是从无知到课程中期的担忧抗拒,到现在的所谓有所收获。对比上学期的数电,我觉得模电的难度要大一些,学习方法也有很大不同。 课程学习方法 1.上课认真听讲,虽说老师讲的内容和书上的叙述大同小异,但是从听觉和视觉两方面得 来的信息比自己看更有效,上课的互动也能加深学习印象,更重要的是模电离不开电路,单纯看课本而没有老师的讲解需要花费很长时间,有时弄不懂就糊弄过去了。课上也会有一些补充内容和习题,比如这次期中的最后一题老师也在课堂上提到过,但是很少有人注意到。 2.要有个线索,建立自己的知识树,注意前后的联系,不要脱节。比如:半导体材料的性 质,半导体构成的元件,半导体元件组成的放大电路,处理电路。前后紧密相连,环环相扣,围绕着一个核心问题:信号的放大,运算,处理,转换,产生。在学习的时候,一定要从前往后切实的掌握基本概念,理解每个参数的物理意义。 3.重点把握典型的基本电路及分析方法,掌握工作原理,结构特点,性能特点。比如典型 的差分电路,多级放大电路的基本组成,各种功率放大电路等,唯有如此,才能对它们的改进电路和类似电路做进一步的分析。 4.结合实验课和multisim仿真,这也是模电数电的一个重大区别,数电电路复杂,但是一 旦接对结果一定正确,而模电虽然电路简单,但是即使设计和电路都正确,结果还是出不来。这时就要具体分析电路,哪里可能存在误差或者自激振荡或者参数不合适,在这个过程我们对电路有了更加深入的认识。而multisim更是我们学习的好帮手,可是讲的各种特性还有电路都可以自己来仿真一遍,一方面对这些元件有个初步的认识,另一方面对参数的设置有具体的把握。 课程学习成效 1.会看:电路的识别及定性分析,首先根据电路特征判断其属于哪种电路,然后根据电路 特点判断其性能特点。 2.会选:在已知需求情况下选择电路形式,在已知功能情况下选择元器件类型,在已知性 能指标情况下选择电路参数。常结合会看来选,比如选择合适的放大电路,应根据动态静态,带负载能力输入电阻大小等来选择,选择负反馈电阻也要根据是稳压还是稳流,带负载能力,输入电阻等来选择。 3.会算:电路的定量分析,例如对于放大电路会求解静态工作点,Au,输入输出电阻,上 下限截止频率,会画出交直流等效电路;对于运算电路会求解运算关系等 4.会调:电路参数的调节和设置,主要在放着呢和实验中会根据实验现象来调节合适的参 数,比如放大电路发生失真时判断是顶部还是底部失真,是由于哪些因素引起,相应调节对应参数 5.会设计:能够根据要求设计相应功能的电路,这是一个综合的富有创新性的能力。比如 设计一个求解微分方程的电路,设计一个电压表等。 课程学习感受 我觉得模电是一门知识点杂多,但是主线清晰的学科,具有很强的工程性和实践性,对于我来说还是很有难度和挑战性的,但是迎难而上收获才会更多!

数电课程设计题目

按以下要求设计电路,画出逻辑框图,分析原理,在仿真软件中搭建电路并验证结果: 1、试用两片双4选1数据选择器74HC153和3线-8线译码器74HC138接成16选1的数据选择器。 2、试设计一个可以实现余3码和8421BCD码相互转换的逻辑电路。当开关置于某状态时,将余3码转换成8421BCD码;当开关置于另一种状态时,将8421BCD码转换成余3码。 3、试设计一个可显示十进制数0-9的键盘编码器。十个按键,分别对应数字0-9,当按下其中一个键时,十进制数会被编码成相应的BCD码,并由七段数码管显示该按键所对应的数字。 4、试利用D触发器构成T触发器、T’触发器和JK触发器。验证以上4种触发器的功能并加以说明。参照《电工电子技术实验指导》实验26中的实验记录表(如表26-8)验证仿真结果。 5、设计一个数字钟电路,要求能用七段数码管显示从0时0分0秒到23时59分59秒之间的任一时刻。 6、利用两片同步十进制计数器74160接成同步三十一进制计数器,可以附加必要的门电路,并用七段数码管显示计数结果。 7、试用555定时器设计一个单稳态触发器,要求输出脉冲宽度在1-10s的范围内可手动调节。 8、试用555定时器设计一个多谐振荡器,要求震荡周期为1s,输出脉冲幅度大于3V而小于5V,输出脉冲的占空比q=2/3。 9、设计制作一个可容纳4组参赛的数字式抢答器,每组抢答按钮供抢答时使用,且电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,若参赛者按抢答开关,则该组指示灯亮,同时电路应具有自锁功能,使别的抢答开关不起作用。 10、倒计时计时器的用途很广泛。它可以用做定时,控制被定时的电器的工作状态,实现定时开或者定时关,最长定时时间为999分钟。它还可以用做倒计时记数,最长记时时间为999秒,有三位数码管显示记数状态。用三个可预置数的减计数器组成三位二-十进制减计数器,用三个译码器和三个LED数码管显示器。

数电课后习题及答案

题 完成下面的数值转换: (1)将二进制数转换成等效的十进制数、八进制数、十六进制数。 ①(0011101)2 ②()2 ③()2 解: ① (0011101)2 =1×24+ 1×23+ 1×22+ 1×20=(29)10 (0011101)2 =(0 011 101)2= (35)8 (0011101)2 =(0001 1101)2= (1D)16 ② 10,8,16; ③ (439)10,(667)8,(1B7)16; (2)将十进制数转换成等效的二进制数(小数点后取4位)、八进制数及十六进制数。①(89)10 ②(1800)10 ③()10 解得到:① (1011001)2,(131)8,(59)16; ② ) 2,(3410) 8,(708) 16 ③ 2, 8, 16; (3)求出下列各式的值。①()16=()10 ②(127)8=()16 ③(3AB6)16=() 4 解 ① 10;② (57)16;③ (3222312)4; 题 写出5位自然二进制码和格雷码。 题 用余3码表示下列各数 ①(8)10 ②(7)10 ③(3)10 解(1)1011;(2)1010;(3)0110 题 直接写出下面函数的对偶函数和反函数。 解

题证明下面的恒等式相等 1、(AB+C)B=AB+BC=AB ( C+C')+ ( A+A')BC =ABC+ABC'+ABC+ A'BC= ABC+ABC'+ A'BC 2、AB'+B+A'B=A+B+A'B=A+B+B=A+B 3、左=BC+AD,对偶式为(B+C)(A+D)=AB+AC+BD+CD 右=(A+B)(B+D) (A+C)(C+D),对偶式为: AB+AC+BD+CD 对偶式相等,推得左=右。 4、(A+C')(B+D)(B+D')= (A+C')(B+BD+BD')= (A+C')B=AB+BC' 题在下列各个逻辑函数中,当变量A、B、C为哪些取值组合时,函数Y的值为1。Y=AB+BC+A'C = AB(C+C')+BC (A+A')+A'C(B+B') =m7+m6+m1+m3 使以上四个最小项为1时,Y为1. 即:111;110;011;001 (2)000,001,011,100 (3)100,101,000,011,010,111 (4)110,111,010 题列出下面各函数的真值表 题在举重比赛中,有甲、乙、丙三名裁判,其中甲为主裁判,乙、丙为副裁判,当主裁判和一名以上(包括一名)副裁判认为运动员上举合格后,才可发出合格信号。列出该函数的真值表。 设A为主裁判,真值表如下表所示。 题一个对4逻辑变量进行判断的逻辑电路。当4变量中有奇数个1出现时,输出为1;其他情况,输出为0。列出该电路的真值表,写出函数式。

数字电路课程设计总结报告

数字电路课程设计总结报告题目:交通灯控制器 班级:08通信工程1班 学号:0810618125 姓名:廖小梅 指导老师:张红燕 日期:2010年12月

目录 1、设计背景 2、设计任务书 3、设计框图及总体描述 4、各单元设计电路设计方案与原理说明 5、测试过程及结果分析 6、设计、安装、调试中的体会 7、对本次课程设计的意见及建议 8、附录 9、参考文献 10、成绩评定表格

一、设计背景 随着经济的快速发展,城市交通问题日益凸显严重,尤其在城市街道的十字叉路口,极其容易发生交通问题,为了保证交通秩序和人们的安全,一般在每条街上都有一组红、黄、绿交通信号灯。交通灯控制电路自动控制十字路口的红、黄、绿交通灯。交通灯通过的状态转换,指挥车辆行人通行,保证车辆行人的安全,实现十字路口交通管理自动化。 二、设计任务书 1、设计一个十字路口的交通灯控制电路,要求南北方向(即 A车道)和东西方向(即B车道)两条交叉道路上的车辆 交替运行,每次通行时间都为30秒; 2、在绿灯转红灯时,先由绿灯转为黄灯,黄灯亮6秒后,再 由黄灯转为红灯,此时另一方向才由红灯转为绿灯,车辆 才开始通行。 三、设计框图及总体描述 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。

图1交通灯控制系统原理框图 在图中, T30: 表示甲车道或乙车道绿灯亮的时间间隔为30秒,即车辆正常通行的时间间隔。定时时间到,T30 =1,否则,T30 =0。 T6:表示黄灯亮的时间间隔为6秒。定时时间到,T6=1,否则,T6=0。 S T:表示定时器到了规定的时间后,由控制器发出状态转换信号。 由它控制定时器开始下个工作状态的定时。 交通系统的车道信号灯的工作状态转换如下所述: 状态1:A车道绿灯亮,B车道红灯亮。表示A车道上的车辆允许通行,B车道禁止通行。绿灯亮满规定的时间隔T30时, 控制器发出状态信号S T,转到下一工作状态。 状态2:A车道黄灯亮,B车道红灯亮。表示A车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,B车 道禁止通行。黄灯亮足规定时间间隔TY时,控制器发 出状态转换信号S T,转到下一工作状态。 状态3:A车道红灯亮,B车道黄灯亮。表示A A车道禁止通行,B车道上的车辆允许通行绿灯亮满规定的时间间隔T30 时,控制器发出状态转换信号S T,转到下一工作状态。

模电课程设计报告题目范例

以下课程设计题目仅供参考,不供选择,请同学们按照感兴趣的方向自己拟定题目及要求,不得与以下题目完全相同。 一、音频功率放大器 1、指标要求: 设计并制作一OCL音频功率放大器并设计制作与之匹配的直流稳压电源。指标:PoM≥5W,fL≤50Hz,fH≥15KHz,中点电位≤100mV。负载:8Ω。以上指标“=”者为及格。输入电压50mV。 2、约束:不能采用音频功放集成电路(扬声器可用8.2Ω电阻代替) 二、串联型直流稳压电源的设计 在输入电压220V 50HZ电压变化X围±10%条件下: ①输出电压可调X围:+9 ~ +12V; ②最大输出电流:300mA; ③测出设计电路的输出电阻(输入电压变化X围±10%下,满载)。 ④测出设计电路的稳压系数( 最低输入电压下,满载),并将稳压系数减到最小。 ⑤学习Mutisim的电路仿真过程,绘制电路图,进行基本的仿真实验对设计的电路进行性能分析 三、温度测量电路 (1) 温度测量X围:-40oC~+125oC.(2) 灵敏度:1mV/ oC(3) 测量精度:±1oC(4) 工作电压:±5V(5) 测量某处的温度值并转换为0~5V的电压

四、双工对讲机的设计与制作 采用集成运放和集成功放及阻容元件构成对讲电路,实现甲乙双方异地有线通话对讲;用扬声器兼作话筒和喇叭,双向对讲,互不影响;电源电压+5V,功率〈=0.5W,工作可靠,效果良好! 五、声光控制灯感应系统 输入:光强信号、声音信号 输出:开关信号 逻辑:在满足光强(不足)条件下,输入声音信号时,输出“开”信号并延时,自动关断;光强足够时,封锁输出或封锁声音检测电路 要点:光强信号检测要考虑排除脉冲信号干扰,如雷电、爆竹、拍照等闪光,可以通过对光强检测信号的简单滤波达到目的,滤波时间常数为秒级即可 构成:光强检测可以用光电三极管、光电二极管或光敏电阻,电阻成本最低 声音检测用驻极体拾音器,最好设音频选择元件,LC滤波 信号放大、处理,可以用集成运放或比较器,简单的用555电路 驱动可以是三极管驱动小型直流继电器 工作电源,用小型电源变压器+整流+滤波+三段稳压器 六、扩音机电路设计 1、最大输出公路为8W; 2、负载阻抗RL=8欧姆;

数电课程设计题目汇总资料

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗:

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 〖主要参考元器〗:CC4511,CC14522,CD4060

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

模电课程设计报告

模电课程设计论文 论文题目:音频功率放大电路 课程名称模拟电子技术基础课程设计 2012年12月25日 目录

一.设计题目 ........................ 二.设计任务目的与要求 .......................... 三.原理电路设计 ....................... 方案比较 ........................ 整体电路框图 ........................... 单元电路设计及元器件选择 ...................... 输出波形图 ........................... 系统的电路总图:.......................... 四、电路调试过程与结果: .......................... 五.课程设计的总结与体会 ........................ 一、设计题目:音频功率放大电路 二、设计任务目的与要求: 要求:设计并制作用晶体管和集成运算放大器组成的音频功率放大电路,负载为扬声器,阻抗8Ω。 指标:频带宽50HZ~20kHZ,输出波形基本不失真;电路输出功率大于8W;输入灵敏度为100mV,输入阻抗不低于47KΩ。

三、原理电路设计: ⑴方案比较: ①利用运放芯片TDA7294和各元器件组成音频功率放大电路, 待机和静音功能有保护电路,电源分别接+39v 和-39v ,输出功率可以达到70w 。优点:有短路保护和过热保护电路,低噪声和低失真,高输出功率。缺点:由于输出功率较大,对各器件的要求都比较高,还要考虑到散热的问题,成本高。 ②利用运放芯片TDA2030和各元器件组成音频功率放大电路,有保护电路,电源 只需接+19v ,另一端接地,负载是阻抗为8 的扬声器,输出功率大于8w 。 通过比较,方案①的输出功率有70w ,能用在HiFi 家用音响、有源音响、高性能电视机,但其输入要求比较苛刻,添加了实验难度。而方案②的要求不高,并能满足设计要求,所以选取方案②来进行设计。 ⑵整体电路框图: ⑶单元电路设计及元器件选择: ①单元电路设计: 功率放大器按输出级静态工作点的位置可分为甲类、乙类和甲乙类三种;若按照输出级与负载的耦合方式,甲乙类又可分为电容耦合 (OTL 耦合)、直接耦合(OCL 电路)和变压器耦合三种。变压器耦合容易实现阻抗匹配,但体积大, 较笨重。又OCL 电路电源输入要求较高,所以采用OTL 电路。采用单电源的OTL 电路不需要变压器中间抽头,但需要在输出端接上大电容,且低频特性不如OCL 好。根据“虚短”、“虚断”的原理,利用电阻的比值,可求得电路所需的放大倍数,其中可加入一个电位器替代反馈电阻,这样就能够实现电路放大倍数的调整。因为功率放大电路是追求在电源电压确定的情况下,输出尽可能大的功率,可以采取OTL 电路来实现。为了提高转换功率,我们要对电路进行改善,这主要围绕功率放大

2018秋数字电路与逻辑设计实验课程要求及题目

2018~2019学年第一学期 《数字电路与逻辑设计实验(下)》课程要求 一、课程安排及要求: 本学期数字实验教学内容为综合课题设计,教学方式采用开放式实验教学模式,第7周和第10周实验按班上课,第8周和第9周实验室全开放,学生根据开放实验安排自行选择实验时间和地点,要求每人至少参加2次课内开放实验。 课程具体安排如下: 二、成绩评定 数字综合实验成绩由三部分组成: ●平时成绩:占总成绩的20% ●验收答辩:占总成绩的50% ●报告成绩:占总成绩的30% 实验报告评分标准如下(按百分制批改,占总成绩的30%):

三、实验题目 题目1 抽油烟机控制器的设计与实现 利用CPLD器件和实验开发板,设计并实现一个抽油烟机控制器。 基本要求: 1、抽油烟机的基本功能只有两个:排油烟和照明,两个功能相互独立互不影响。 2、用8×8双色点阵模拟显示烟机排油烟风扇的转动,风扇转动方式为如图1所示的四 个点阵显示状态,四个显示状态按顺序循环显示。风扇转动速度根据排油烟量的大小分为4档,其中小档的四个显示状态之间的切换时间为2秒,中档为1秒,大排档为0.5秒,空档为静止不动(即停止排油烟),通过按动按键BTN7来实现排油烟量档位的切换,系统上电时排油烟量档位为空档,此后每按下按键BTN7一次,排油烟量档位切换一次,切换的顺序为:空档→大档→中档→小档→空档,依次循环。 双色点阵模拟排油烟风扇转动示意图 3、设置按键BTN0为立即关闭按键,在任何状态下,只要按下BTN0,排油烟风扇就 立即停止工作进入空档状态。 4、设置按键BTN3为延时关闭按键,在大中小三档排油烟状态的任何一个档位下,只 要按下BTN3,排油烟风扇将在延时6秒后停止工作进入空档状态。延时期间用数码管DISP3进行倒计时显示,倒计时结束后,排油烟风扇状态保持静止不动。在延时状态下,禁用排油烟量档位切换键BTN7。 5、设置按键BTN6为照明开关键,用发光二极管LD6模拟照明灯,系统上电时照明灯 LD6处于关闭状态,按动BTN6来切换LD6的点亮和关闭。 6、系统工作稳定。 提高要求: 1、给油烟机加上音效,分档模拟排油烟风扇的噪音。 2、自拟其他功能。

数电课设报告

数电课设报告

、 西安电子科技大学 电子技术应用设计课程实验报告实验名称改通用示波器为简易的逻辑分析仪 网络与信息安全学院 1518021 班 姓名 ** 学号 ** 同作者无 实验日期 2017 年 12 月21 25 日 实验地点 E-II-310

一、方案设计报告 1、任务要求 通过扩展示波器的功能,完成简易逻辑分析仪的设计。 2、方案的原理及可行性 A、组成 通用示波器通常由显示器件(阴极射线管)、垂直放大器、触发器 或同步电路、时基、水平放大器、门控放大器、电源等组成,其 框图如下所示。 B、工作原理 被测信号经垂直放大器后加到示波器的垂直(Y轴)的偏转系统, 使电子射线的垂直偏转距离正比于输入信号的瞬时值。在示波管 的水平(X轴)偏转系统上加以随时间线性变化的信号;使电子射 线在水平偏转正比于时间,那么再示波管的屏幕上就得到输入信 号的时间波形。由于水平偏转系统所加线性变化的信号不可能无 限增长,荧光屏的尺寸也有限,故实际线性变化的信号(扫描信 号)是一锯齿波,这样就能使输入信号的时间波形在荧光屏上反 复出现。当锯齿波的重复周期等于输入信号周期(或输入信号周 期的整数倍)时,每次重复出现的波形正好完全重合(同步)就 可看到稳定的波形。 C、双踪示波器 对于双踪示波器,则是由一个电子开关来控制Y轴偏移电压,使

其在第一个扫描周用内接通第一路信号,在第二个扫描周期接通第二路信号(在两个扫描周期可以加入不同的偏移电压),交替进行。这样在屏幕上就可同时看到两个波形。如图所示。实际上示波器是分时工作。 D、示波器功能扩展 根据上述原理,若要示波器能够同时观察多个波形。只需在每个波形加入Y轴放大器(垂直放大器)的同时加一偏移电压,然后调节扫描周明便能得到稳定的多个波形。示波器观察多个波形功能扩展框图如下。

模电课程设计报告

模电课程设计报告 TTA standardization office【TTA 5AB- TTAK 08- TTA 2C】

模拟电路课程设计 题目:OCL功率放大器 学院:信息学院 专业:自动化 班级学号: 学生姓名: 指导教师;

目录

一、课程设计任务及要求 1、设计目的 ①学习OCL功率放大器的设计方法 ②了解集成功率放大器内部电路工作原理 根据设计要求,完成对OCL功率放大器的设计,进一步加强对模拟电子技术的了解 ④采用集成运放与晶体管原件设计OCL功率放大器 ⑤培养实践技能,提高分析和解决实际问题的能力 2、设计指标 ①频率响应:50Hz≤f≤20KHz ②额定输出功率:P o=8W ③负载电阻:R L=8Ω ④非线性失真尽量小 ⑤输入信号:U i<=100mv

3、设计要求 (1)进行方案论证及方案比较 (2)分析电路的组成及工作原理 (3)进行单元电路设计计算 (4)画整机电路图 (5)写出元件明细表 (6)小结和讨论 (7)写出对本设计的心得体会 分析设计要求,明确性能指标;查阅资料、设计方案分析对比。 4、制作要求 论证并确定合理的总体设计方案,绘制结构框图。 5、OCL功率放大器各单元具体电路设计。 总体方案分解成若干子系统或单元电路,逐个设计,计算电路元件参数;分析工作性能。

6、完成整体电路设计及论证。 7、编写设计报告 写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、总体方案设计 1、设计思路 功率放大器的作用是给负载R l提供一定的输出功率,当R I一定时,希望输出功率尽可能大,输出信号的非线性失真尽可能小,且效率尽可能高。放大电路实质上都是能量转换电路。从能量控制的观点来看,功率放大电路和电压放大电路没有本质的区别。但是,功率放大电路和电压放大电路所要完成的任务是不同的。对电压放大电路的主要要求是使其输出端得到不失真的电压信号,讨论的主要指标是电压增益,输入和输出阻抗等,输出的功率并不一定大。而功率放大电路则不同,它主要要求获得一定的不失真(或

数字逻辑电路课程设计题目及要求

数字逻辑电路课程设计题目及要求 项目一:高精密数控电源的设计和制作: 要求:电源输出电压为:0—9.9V,步进为0.1V,有两位数码管显示,每位有两个按键能加能减的作用。功率大小不做具体的要求,电路设计不能有专业的A/D芯片。项目二:自行车的里程表设计和制作: 要求:设计一个自行车里程表电路,有两位数码管显示,显示数字的单位为百米,自行车轮胎直径为0.99M。 项目三:自行车的速度测定仪表的设计和制作: 要求:设计一个自行车测速电路,有两位数码管显示,显示数字的单位为M/S,采样时间不能超过5S,自行车轮胎直径为0.99M。 项目四:多功能抢答器的设计和制作: 要求:多功能抢答器为八路的,具有数码和指示灯双重指示,电路的附加的电路一个有计时、报警等电路,所用芯片必须为我们所学的。 项目五:数字频率计的设计和制作: 要求:数字频率计的显示为两位数码管,单位为KHZ,具有溢出报警功能,附带震荡电路,频率可调几K到几百KHZ。 项目六:交通灯的设计和制作: 要求:具有真正模拟十字路口的交通灯的能力,红灯5秒,绿灯4秒,黄灯1秒等的时间也可以自己设定。 项目七:数字电子钟逻辑电路的设计和制作: 要求:数字电子钟逻辑电路要具有电子手表的功能,例如时间的设定,整点报时,可以设定闹钟等功能,只需四位数码。 项目八:定时控制器电路的设计和制作: 要求:定时控制器电路能够定时控制家用电器的开关,例如能按时开启、关断电饭煲煮饭等,电器用灯泡代替,时间可以缩短60倍。 项目九:LED广告牌电路设计和制作: 要求:LED广告牌电路能够模拟市面上的LED广告灯箱,能有四个字显示能力(共青学院),可以的话周围有霓虹灯闪烁。 项目十:易拉罐技术电路的设计与制作: 要求:易拉罐在传送带上过时,记录数值,以20灌为一个单位,满二十灌重新 计数并给出提示音,此过程模拟工厂易拉罐装箱过程. 项目十一:数显风扇调速器电路 要求:具有中低高三档,0为停止,1为低档。2为中档,3为高档。调节开关设 置成触摸,遥控等。可以的话加入温度自动控制电路。 项目十二:出租车计价器电路

相关文档