文档库 最新最全的文档下载
当前位置:文档库 › protel课程设计-交通灯控制器

protel课程设计-交通灯控制器

protel课程设计-交通灯控制器
protel课程设计-交通灯控制器

成绩评定表

课程设计任务书

目录

1课程设计目的 (1)

2总体方案的设计 (1)

3单元电路的设计 (3)

3.1秒脉冲产生电路 (3)

3.2计时器部分电路 (4)

3.3主控电路 (5)

3.4红绿灯显示电路 (5)

4 protel软件实现过程 (6)

4.1protel 99 SE简介 (6)

4.2protel制作电路图 (7)

4.2.1绘制电路的原理图(*.SCH) (7)

4.2.2元件封装 (10)

4.2.3原理图的检查 (10)

4.3pcb双面印刷版制作 (11)

4.3.1创建pcb文件 (11)

4.3.2生成网络表 (12)

4.3.3设置pcb设计环境 (13)

4.3.4布置零件封装位置 (13)

4.3.5自动布线 (15)

5设计体会 (17)

6参考文献 (18)

1课程设计目的

1. 综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用。

2. 深入了解交通灯的工作原理。

3. 熟悉protel环境。绘制交通灯控制器电路原理图*.sch和相应的双面印刷板图*.pcb。

4.锻炼自己的动手能力和实际解决问题的能力。

2总体方案的设计

(1)通过分析系统的逻辑功能,画出其机构框图如图1。

交通灯控制系统的原理框图如图1所示。它主要由秒脉冲信号发生器、定时器、控制器、译码器和计时显示电路等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,控制器是系统的主要部分,由它控制定时器和译码器的工作。

图1 系统的原理框图

(2)具体分析

1. 东西干道绿灯亮,南北干道红灯亮。南北干道禁止通行。绿灯亮规定的时间隔30s时,转到下一工作状态。

2. 东西干道黄灯亮,南北干道红灯亮。南北干道禁止通行。黄灯亮足规定时间间隔2s时,转到下一工作状态。

3. 东西干道红灯亮,南北干道绿灯亮。表示东西干道禁止通行,南北干道上的车辆允许通行绿灯亮规定的时间间隔30s时,转到下一工作状态。

- 1 -

4. 东西干道红灯亮,南北干道黄灯亮。表示东西干道禁止通行,黄灯亮足规定的时间间隔2s时,电路又转换到第种1工作状态。

交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S2、S3表示,则控制器的工作状态及功能如表所示,控制器应送出甲、乙车道红、黄、绿灯的控制信号。并作如下规定:

控制状态信号灯状态车道运行状态

S0(00)东西干道绿,南北干道红东西干道通行,南北干道禁止通行

S1(01)东西干道黄,南北干道红东西干道停车,南北干道禁止通行

S2(11)东西干道红,南北干道绿东西干道禁止通行,南北干道通行

S3(10)东西干道红,南北干道黄东西干道禁止通行,南北干道停车

其状态表为:

表1

设: A: 表示东西干道绿灯亮的时间间隔为25秒,定时时间到A=1,否则,A=0。

B:表示南北干道绿灯亮的时间间隔为25秒,定时时间到B=1,否则,B=0。

C:表示黄灯亮的时间间隔为5秒,定时时间到C=1,否则,C=0。

- 2 -

- 3 -

状态图如下

C=0 图2 工作状态转换图 B=0

3 单元电路的设计

3.1 秒脉冲产生电路

555是一个能产生稳定而精确的时间延迟和频率的控制器。有触发和复位功能。外接电阻、电容各一个就能精确控制时间延迟。作为振荡工作时,振荡频率和占空因子由二个外接电阻和一个外接电容精确控制。

图3 秒脉冲产生电路原理图

电路图如图4所示

图4

3.2计时器部分电路

74LS190是单时钟同步十进制加/减计数器,当U /D=0时做加法计数;当U /D=1时做减法计数。

引出端符号及功能: MAX/MIN进位输出/错位输出端; CLK 时钟输入端(上升沿有效); CTEN 计数控制端(低电平有效); A~D 并行数据输入端;LOAD 异步并行置入控制端(低电平有效);QA~QD 输出端;~RCO并行波时钟输出端(低电平有效); U /D 加/减计数方式控制端。

表2

本课设通过用两片74LS190级联实现交通灯控制电路的倒计时功能.

倒计时显示部分通过BCD—7段字形译码器和共阳极7段发光二极管显示器相连来

- 4 -

实现。电路图结果如图5所示。

图5

3.3主控电路

控制器是交通管理的核心,它应该能够按照交通管理规则控制信号灯工作状态的转换。本课程设计用二—十进制计数器74LS190实现,采用反馈归零法构成四进制计数器,即可从输出端QB、QA得到所要求的4个状态。

输入端为计数器数值为5、0时产生的两个信号相与得到一个控制信号。

3.4 红绿灯显示电路

译码器的主要任务是将控制器的输出 QA、 QB的4种工作状态,翻译成甲、乙车道上6个信号灯的工作状态。控制器的状态编码与信号灯控制信号之间的关系如表2所示。表中A、B代表甲、乙车道。

- 5 -

表3

由计数器部分电路产生的控制信号脉冲脉冲,送给控制器的74LS190芯片。从而使控制器状态发生转换。电路原理图如图6所示。

图6

4 protel软件实现过程

4.1 protel 99 SE简介

Protel99SE是Protel公司在80年代末推出的EDA软件,应用广泛功能强大,是个完整的板级全方位电子设计系统。它包含了电原理图绘制、模拟电路与数字电路混合信号

- 6 -

仿真、多层印制电路板设计(包含印制电路板自动布线)、可编程逻辑器件设计、图表生成、电子表格生成、支持宏操作等功能。Protel 99 SE不仅在绘制原理图、PCB版布局布线等方面功能更加完善,而且为用户提供功能强大、使用方便的仿真器,它可以对当前所画的电路原理图进行即时仿真,因此在电路的整个设计周期都可以仿真查看和分析其性能指标,以便及时发现设计中存在的问题并加以改正,从而更好的完成电路设计。

Protel99se软件中提供了SIM99se数模混合仿真器集成软件可以对许多电子线路进行模拟设计,模拟运行,反复修改。提供了接近6000个仿真元件和大量的数学模型,可以对电工电路,低频电子线路、高频电子线路和脉冲数字电路在一定范围内进行仿真分析。仿真结果以多种图形方式输出,直观明了,可以单图精细分析,也可以多图综合比较分析、并可通过不同的角度进行分析,以获得对电路设计的准确判断。Protel 99 se仿真方面其具有的特点有:强大的分析功能、丰富的信号源、充分的仿真模型库、友好的操作界面。

4.2 protel制作电路图

4.2.1 绘制电路的原理图(*.SCH)

(1) 创建一个项目

首先启动protel 99 SE 系统。如图7所示,创建一个项目,名称为JiaoTongDeng.ddb。

图7

- 7 -

- 8 -

单机【OK 】,显示结果如图8

图8

(2) 创建原理图文件(*.SCH )

打开Documents 文件夹,单击右键,选择New ,结果如图9所示

图9

- 9 -

选择Schematic Document 文件夹,单击【OK 】,结果如图10所示

图10

(3) 添加元件库

执行菜单命令【Dsign 】/【Add/Remove Library 】,单击Add 按钮添加所需要的元件库。

本课程设计需要从【Protel DOS Schematic 】库中添加NE555定时器;从【sim 】库中添加7段数码管AMBERCA 、BCD —7段字形译码器7446和十进制加/减计数器74LS190;从【Miscellaneous Device 】库中添加LED 、电阻、电容、与或非门逻辑器件。

(4) 按设计的电路原理图添加元件并连接电路,结果如图11所示

11

4.2.2 元件封装

双击元件,为每个元件设置封装号和元件名称。电阻R1的封装号如图12所示。

图12

电阻R1~R8的封装号相同。无极性1的封装号为RAD0.1,有极性电容C2的封装号为POLAR0.8,LED的封装号为DIODE0.4,集成芯片的封装号再添加元件时自动给出。

4.2.3 原理图的检查

原理图绘制完成后,要进行检查。因为原理图与其他的图不同,不是简单的电路的点和线,而是代表着实际的电器元件和它们之间的相互连接。因此,它们之间不仅仅具有一定的拓扑结构,还必须遵循一定的电气规则。

电气规则检查(ERC)是进行电路原理图设计过程中非常重要的步骤之一;原理图的电气规则检查是发现一些不应该出现的短路、开路、多个输出端子短路和未连接的输入端子等。

- 10 -

电气规则检查还对原理图中所用元件里,若有元件输入端有定义,则对该元件的输入端进行是否有信号源的检查,若没有直接信号源,系统会提出警告。做好的办法就是在该端放置“NO ERC”。

执行菜单命令【Tools】/【ERC】,对原理图进行电气特性检查,运行结果如图13所示。

图13

结果显示电路原理图无电气特性错误。

4.3 pcb双面印刷版制作

印制电路板,又称印刷电路板、印刷电路板,简称印制板,英文简称PCB (printed circuit board )或PWB(printed wiring board),以绝缘板为基材,切成一定尺寸,其上至少附有一个导电图形,并布有孔(如元件孔、紧固孔、金属化孔等),用来代替以往装置电子元器件的底盘,并实现电子元器件之间的相互连接。由于这种板是采用电子印刷术制作的,故被称为“印刷”电路板。习惯称“印制线路板”为“印制电路”是不确切的,因为在印制板上并没有“印制元件”而仅有布线。

它是重要的电子部件,是电子元器件的支撑体。

4.3.1 创建pcb文件

(1) 立空白PCB文件。执行菜单命令【File】/【New】/【PCB】,即可完成PCB文件的建立。

(2)命名PCB文件在PCB编译环境中,执行菜单命令【File】/【Save As…】将“PCB1”更名为“jiaotongdeng”。

- 11 -

- 12 -

新创建的PCB 编辑界面如图14所示。

图14

4.3.2 生成网络表

打开原理图编辑窗口,执行菜单命令【Dsign 】/【create Netlist 】,如图15所示。

15

单击【OK】,运行结果如图16所示。

图16

4.3.3 设置pcb设计环境

(1) 进入PCB系统后的第一步就是设置PCB设计环境,包括设置格点大小和类型,光标类型,版层参数,布线参数等等。大多数参数都可以用系统默认值,而且这些参数经过设置之后,符合个人的习惯,以后无须再去修改。

(2) 规划电路版,主要是确定电路版的边框,包括电路版的尺寸大小等等。在需要放置固定孔的地方放上适当大小的焊盘。对于3mm 的螺丝可用6.5~8mm 的外径和3.2~3.5mm 内径的焊盘对于标准板可从其它板或PCB izard 中调入。

(3) 在绘制电路版地边框前,一定要将当前层设置成Keep Out层,即禁止布线层。

4.3.4 布置零件封装位置

Protel99可以进行自动布局,也可以进行手动布局。如果进行自动布局,运行"Tools"下面的"Auto Place",用这个命令,你需要有足够的耐心。布线的关键是布局,多数设计者采用手动布局的形式。用鼠标选中一个元件,按住鼠标左键不放,拖住这个元件到达目的地,放开左键,将该元件固定。Protel99在布局方面新增加了一些技巧。新的交互

式布局选项包含自动选择和自动对齐。使用自动选择方式可以很快地收集相似封装的元

件,然后旋转、展开和整理成组,就可以移动到板上所需位置上了。当简易的布局完成

- 13 -

- 14 -

后,使用自动对齐方式整齐地展开或缩紧一组封装相似的元件。

(1) 打开PCB 编辑界面,执行菜单命令【Design 】/【Load Netlist 】,如图17所示

图17

(2)单击Browse ,选择https://www.wendangku.net/doc/4b18673000.html, 并单击【OK 】,结果如图18所示

18

(3) 单击【Execute】,然后手动布线,如图19所示。

图19

4.3.5自动布线

(1) 设置电路板的禁止布线区域

首先在PCB编辑器工作状态下,设定当前的工作层面为“Keep-Out Layer”。

然后确定电路板的电器边界。执行菜单命令【Place】【Line】,光标变为十字状。将光标移到工作窗口中的适当位置,确定一边界的起点。然后拖动光标至某一点,再确定电器边界一边的终点。

(2) 自动布线

执行菜单命令【Auto Route】,选择自动布线的方式为all。

选中除了Add Testpoints 以外的所有项,特别是选中其中的Lock All Pre-Route 选项,Routing Grid 可选1mil 等。自动布线开始前PROTEL 会给你一个推荐值可不去理它或改为它的推荐值,此值越小板越容易100%布通,但布线难度和所花时间越大。

在弹出窗口中单击【Route all】,单击【OK】,运行结果如图20所示。

- 15 -

图20

假如不能完全布通则可手工继续完成或UNDO 一次(千万不要用撤消全部布线功能,它会删除所有的预布线和自由焊盘、过孔)后调整一下布局或布线规则,再重新布线。完成后做一次DRC,有错则改正。布局和布线过程中,若发现原理图有错则应及时更新原理图和网络表,手工更改网络表(同第一步),并重装网络表后再布。

需加粗的地线、电源线、功率输出线等加粗,某几根绕得太多的线重布一下,消除部分不必要的过孔,再次用VIEW3D 功能察看实际效果。手工调整中可选Tools-Density Map 查看布线密度,红色为最密,黄色次之,绿色为较松,看完后可按键盘上的End 键刷新屏幕。红色部分一般应将走线调整得松一些,直到变成黄色或绿色。

- 16 -

设计体会

这次课程设计使我进一步熟悉了Protel 99 SE。本次课程设计通过使用Protel 99 SE软件来设计实现交通灯控制电路。电路由脉冲产生电路、倒计时器部分电路、主控制电路、红绿灯显示电路4部分组成。其中脉冲产生电路由555定时器构成的多谢振荡器实现;倒计数器由十进制加/减计数器实现,输出结果通过BCD—7段字形译码器和共阳极7段发光二极管显示器相连,显示倒计时时间;主控制电路用二—十进制计数器74LS190实现;控制器输出的信号体内通过译码电路控制交通灯的变化。

通过此次课设,我深深地认识到了Protel 99 SE的强大功能。Protel 99 SE通过把电路图设计、PCB绘制编辑、电路的仿真等技术的完美融合,为用户提供了全线的设计解决方案,使用户可以轻松地进行各种复杂的电子电路设计工作。尤其是其强大的绘制原理图和PCB的功能,更是给我留下了深刻地印象。

此次课程设计让我收获颇多,为我以后的学习和工作都奠定了良好的基础。

- 17 -

微机原理课程设计——交通灯控制系统

南通大学电子信息学院 微机原理课程设计 报告书 课题名交通灯控制系统 班级 _______ 学号 __________ 姓名 ____ 指导教师 ______ 日期 _________

目录 1 设计目的 (1) 2 设计内容 (1) 3 设计要求 (1) 4 设计原理与硬件电路 (2) 5 程序流程图 (4) 6 程序代码 (4) 7 程序及硬件系统调试情况 (8) 8 设计总结与体会 (9) 9 参考文献 (9)

1 设计目的 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。交通灯能保证行人过马路的安全,控制交通状况等优点受到人们的欢迎,在很多场合得到了广泛的应用。 交通灯是采用计算机通过编写汇编语言程序控制的。红灯停,绿灯行的交通规则。广泛用于十字路口,车站, 码头等公共场所,成为人们出行生活中不可少的必需品,由于计算机技术的成熟与广泛应用,使得交通灯的功能多样化,远远超过老式交通灯, 交通灯的数字化给人们生产生活带来了极大的方便,而且大大地扩展了交通灯的功能。诸如闪烁警示、鸣笛警示,时间程序自动控制、倒计时显示,所有这些,都是以计算机为基础的。还可以根据主、次干道的交通状况的不同任意设置各自的不同的通行时间。或者给红绿色盲声音警示的人性化设计。现在的交通灯系统很多都增加了智能控制环节,比如对闯红灯的车辆进行拍照。当某方向红灯亮时,此时相应的传感器开始工作,当有车辆通过时,照相机就把车辆拍下。 要将交通灯系统产品化,应该根据客户不同的需求进行不同的设计,应该在程序中增加一些可以人为改变的参数,以便客户根据不同的需要随时调节交通灯。因此,研究交通灯及扩大其应用,有着非常现实的意义。 2 设计内容 交通灯控制系统 利用8253定时器、8255等接口,设计一电路,模拟十字路口交通灯控制。要求能实现自动控制和手动应急控制。 3 设计要求 在Proteus环境下,结合课程设计题目,设计硬件原理图,搭建硬件电路 软件设计

数字电子技术课程设计之交通灯控制系统

数字电子技术课程设计之交通灯控制系统 专业班级:物联网112 指导教师:陈际 组成员:王海超、殷修修、张天一

一、内容摘要 二、设计内容与要求 三、方案分析 四、原理图设计 4、1信号灯控制器电路 4、2信号灯译码器电路 4、3计时器系统 4、4显示译码器 4、5 LED七段数码管 4、6 555振荡器组成的秒脉冲电路 五、整体电路图以及工作原理 六、参考文献 一、内容摘要 电路通过两个D触发器组成的四进制级数器和由与非门组成的译码器来控制主干道和支干道红、绿、黄灯的状态变化,从而达到疏

通车辆安全顺利通过十字路口,有555计时和电容电阻组成的秒脉冲发生器,计时器由两个74LS190计数器构成,分别用于计时的十位和个位,显示译码器把74LS190输出的BCD码译成七位二进制代码通过七段数码管显示出相应的十进制数。 二、设计内容与要求 为了确保在十字路口车辆安全顺利的通过,在交叉路口设置红、绿、黄三种信号灯,红灯亮时禁止通行,绿灯亮时允许通行,黄灯亮时给行驶中的车辆有时间停靠在禁行线外。 任务和要求: 1、在主干道和支干道之间交替放行,主干道每次放行50秒,支干道每次放行40秒。 2、每次绿灯亮变红时,黄灯先亮4秒,而原红灯不变。 3、用十进制数显示放行与等待时间。 三、方案分析 方案一、用数电电子技术来实现交通灯的控制 1、交通灯控制系统原理框图如图1-1所示 主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成,秒脉冲发生器是系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路驱动信号灯工作,控制器是系统的主要成分,由它控制定时器和译码器工作。

交通灯设计实验

FPGA 实现交通灯控制系统的设计 一、实验目的与要求 掌握用FPGA 可编程逻辑器件实现交通灯控制系统的基本功能的设计方法。 熟悉交通灯控制系统的ASM 图和状态转换图的设计方法。 二、实验原理 1、设计要求 设计一个十字路口主干道和支干道的交通灯控制系统,其示意图如图1-1所示。 主干道 支干道 主干道 支干道 (a) 交通灯干道示意图 (b) 交通灯控制框图 图1-1 交通灯控制示意图 其功能要求如下:(1)支干道两边安装传感器S ,要求优先保证主干道的畅通。主干道绿灯亮、支干道红灯亮,并且主干道绿灯亮的时间不得少于60秒。(2)主干道无车,支干道有车时,则主干道红灯亮、支干道绿灯亮。但支干道绿灯亮的时间不得超过30秒。(3)主干道或支干道绿灯变红灯时,黄灯先亮5秒钟。 2、系统组成框图 根据上述功能要求,设计的交通灯控制系统组成框图如图1-2所示。其中定时器向控制器发出定时信号T L (主干道绿灯亮60秒)、Ts(支干道绿灯亮30秒)和T Y (黄灯亮5秒),如果定时时间到,则控制器向定时器发出状态转换信号S T ,定时器清零,准备重新计数。译码电路在控制器的控制下,改变红、绿、黄交通灯的显示。

时钟 1-2 交通灯控制系统组成框图 3、画ASM图 设HG、HY、HR分别表示主干道绿灯、黄灯、红灯;FG、FY、FR分别表示支干道绿灯、黄灯、红灯。T L为主干道绿灯亮的最短时间,不少于60秒;T S为支干道绿灯亮的最长时间,不多于30秒。T Y为主干道或支干道黄灯亮的时间为5秒。定时器分别产生60秒、30秒、5秒三个定时时间,向控制器发出定时时间已到信号,控制器根据定时器及传感器的信号,决定是否进行状态转换。如果肯定,则控制器发出状态转换信号S T,定时器开始清零,准备重新计时。 设交通灯控制器的控制过程分为四个阶段,对应的输出有四种状态,分别用S0、S1、S2和S3表示: S0状态:主干道绿灯亮支干道红灯亮,此时若支干道有车等待通过,而且主干道绿灯已亮足规定的时间间隔T L,控制器发出状态转换信号S T,输出从状态S0转换到S1。 S1状态:主干道黄灯亮,支干道红灯亮,进入此状态,黄灯亮足规定的时间间隔T Y时,控制器发出状态转换信号S T,输出从状态S1转换到S2。 S2状态:支干道绿灯亮,主干道红灯亮,若此时支干道继续有车,则继续保持此状态,但支干道绿灯亮的时间不得超过T S时间间隔,否则控制器发出状态转换信号S T,使输出转换到S3状态。 S3状态:支干道黄灯亮,主干道红灯亮,此时状态与S1状态持续的时间间隔相同,均为T Y,时间到时,控制器发出S T信号,输出从状态S3回到S0状态。 对上述S0、S1、S2和S3四种状态按照格雷码进行编码分别为00、01、11和10,由此得到交通灯控制系统的ASM图如图1-3所示。设系统的初始状态为主干道绿灯亮、支干道红灯亮,用S0状态框表示。当S0状态持续时间T L大于等于60秒,并且支干道有车等待通过,传感器S=1时,此时满足判断框中的T L·S=1条件,系统控制器发出状态转换信号S T,由条件输出框表示,同时系统从状态S0转到主干道黄灯亮、支干道红灯亮的S1状态。依此类推得出1-3所示的ASM的图。

微机原理课程设计报告交通灯

WORD格式微机原理课程设计 设计题目交通灯的设计 实验课程名称微机原理 姓名王培培 学号080309069 专业09自动化班级2 指导教师张朝龙 开课学期2011至2012学年上学期

一、实验设计方案 实验名称:交通灯的设计实验时间:2011/12/23 小组合作:是□否?小组成员:无 1、实验目的: 分析实际的十字路口交通灯的亮灭过程,用实验箱上的8255实现交通灯的控制。(红,黄,绿三色灯) 2、实验设备及材料: 微机原理和接口技术实验室的实验箱和电脑设备等。 3、理论依据: 此设计是通过并行接口芯片8255A和8086计算机的硬件连接,以及通过8253延时的方法,来实现十字路口交通灯的模拟控制。 如硬件连接图所示(在后),红灯(RLED),黄灯(YLEDD)和绿灯(GLED)分别接在8255 的A,B,C口的低四位端口,PA0,PA1,PA2,PA3分别接1,2,3,4(南东北西)路口的红灯,B,C口类推。8086工作在最小模式,低八位端口AD0~AD7接到8255和8253的D0~D7,AD8~AD15通过地址锁存器8282,接到三八译码器,译码后分别连到8255和8253的CS片选端。8253的 三个门控端接+5V,CLOCK0接由分频器产生的1MHZ的时钟脉冲,OUT0接到CLOCK1和CLOCK,2 OUT1接到8086的AD18,8086通过检测此端口是否有高电平来判断是否30s定时到。OUT2产生 1MHZ方波通过或门和8255的B口共同控制黄灯的闪烁。8255三个口全部工作在方式0既基本 输入输出方式,红绿灯的转换由软件编程实现。

4、实验方法步骤及注意事项: ○1设计思路 红,黄,绿灯可分别接在8255的A口,B口和C口上,灯的亮灭可直接由8086输出0,1 控制。 设8253各口地址分别为:设8253基地址即通道0地址为04A0H,通道1为04A2H,通道2 为04A4H,命令控制口为04A6H。 黄灯闪烁的频率为1HZ,所以想到由8253产生一个1HZ的方波,8255控制或门打开的时 间,在或门打开的时间内,8253将方波信号输入或门使黄灯闪烁。 由于计数值最大为65535,1MHZ/65536的值远大于2HZ,所以采用两个计数器级联的方 式,8253通道0的clock0输入由分频器产生的1MHZ时钟脉冲,工作在方式3即方波发生器方 式,理论设计输出周期为0.01s的方波。1MHZ的时钟脉冲其重复周期为T=1/1MHZ=1s,因此 通道0的计数初值为10000=2710H。由此方波分别作为clock1和clock2的输入时钟脉冲,所以 通道1和通道2的输入时钟频率为100HZ,通道1作计数器工作在方式1,计数初值3000=BB8H 既30s,计数到则输出一个高电平到8255的PA7口,8255将A口数据输入到8086,8086检测 到高电平既完成30s定时。通道2工作在方式3需输出一个1HZ的方波,通过一个或门和8086 共同控制黄灯的闪烁,因此也是工作在方波发生器方式,其计数初值为100=64H,将黄灯的状态 反馈到8055的端口PB7和PC7,同样输入到8086,8086通过两次检测端口状态可知黄灯的状态 变化,计9次状态变化可完成5次闪烁。 三个通道的门控信号都未用,均接+5V即可。 ○ 2硬件原理及电路图 由于8255A与8086CPU是以低八位数据线相连接的,所以应该是8255A的A1、A 0 线分别与 8086CPU的A2、A线相连,而将8086的 1 A 0 线作为选通信号。如果是按8255A内部地址来看, 则在图中它的地址是PA口地址即(CS+000H),PB口地址为(CS+001H),PC口地址为(CS+002H),

数字系统课程设计-交通灯控制器实验报告

交通灯控制器 ——数字系统设计报告 姓名: 学号:

一.实验目的 1.基本掌握自顶向下的电子系统设计方法 2.学会使用PLD和硬件描述语言设计数字电路,掌握 Quartus II等开发工具的使用方法 3.培养学生自主学习、正确分析和解决问题的能力 二.设计要求 我所选择的课题是用Verilog HDL实现交通灯控制器。该课题的具体内容及要求如下: 主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。 (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为25s 。 (3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。 (4)不论主干道情况如何,乡村公路通车最长时间为16s。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时

间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极管作交通灯。 (7)要求显示时间,倒计时。 (C表示乡村道路是否有车到来,1表示有,0表示无;SET用来控制系统的开始及停止;RST是复位信号,高电平有效,当RST=1时,恢复到初始设置;CLK是外加时钟信号;MR、MY、MG分别表示主干道的红灯、黄灯和绿灯;CR、CY、CG分别表示乡村道路的红灯、黄灯和绿灯,1表示亮,0表示灭) 系统流程图如下:(MGCR:主干道绿灯,乡村道路红灯;MYCR:主干道黄灯,乡村道路红灯;MRCG:主干道红灯,乡村道路绿灯;MRCY:主干道红灯,乡村道路黄灯;T0=1表示主干道最短通车时间到,T1=1表示5秒黄灯时间到,T2=1表示乡村道路最长通车时间到。)

交通灯实验报告

微机原理课程设计报告 新疆农业大学 计算机与信息工程学院 课程题目:微机原理与几口技术 班级:电科112 指导老师:张婧婧 姓名:刘建国 学号:114633222

基于8086的交通信号控制器的设计报告摘要: 这次课程设计,我们的任务是:基于8086的交通信号控制器的设计。8086系统是我们这个学期学习的主线方向,我们将在8086系统的基础上完成交通信号控制器的设计,其具体功能是:1.显示十字路口东西、南北2个方向的红、黄、绿的指示状态。2.实现正常的倒计时功能。用2组数码管作为东西和南北方向的倒计时显示,显示时间为红灯30s,绿灯50s,黄灯5s。3.按S1键能实现特殊的功能,显示倒计时的2组数码管闪烁,计数器停止计数并保持在原来的状态;东西、南北路口均显示红灯状态;特殊状态解除后能继续计数。4.按S2键实现总体清零功能。计数器由初始状态计数,对应的指示灯亮。 关键词:8086系统 74154 74HC373 8255A LED交通灯

(一) 1) 设计目的 交通信号控制灯是日常交通不可缺少的工具,涉及到人们的人生和财产安全,在道路行驶上起了相当关键的作用,因而设计交通信号控制灯是非常有意义的。同时我们这次设计的课题就是“基于8086交通信号控制器的设计”,基于以上目的,我利用一周时间精心设计出课题要求的交通灯。 2) 设计思想 在此次设计过程中,我们选择了数码管、发光二极管、74LS138、74LS373、8255A和8086来控制实现交通灯按设计要求工作。 3)硬件部分 1、LED设计说明: 用LED作为倒计时时间的显示器,LED在亮度、功耗、可视角度和刷新速率等方面,都更具优势。LED与LCD的功耗比大约为10:1,利用LED技术,可以制造出比LCD更薄、更亮、更清晰的显示器,LED是发光二极管属于二极管的一种,LCD是液晶显示器,两者相差太多.但是用LED的点阵也能组成显示器,适用于户外大屏幕显示,分辨率较低,LED与LCD具体比较如下图 表1-1:LCD与LED的比较 2、8255设计说明: 用8255A可编程并行接口芯片的A、B、C三口作为红、绿、黄交通灯的控制输入口。8255有三个并行输入输出口,可以方便的对三种颜色的交通灯进行很好的控制。解决方案是:PB0~PB7接模拟灯二极管,PA0~PA7接7段二极管的段选,PC0~PC3接7段二极管的位选,PC4~PC7与开关相连,处理器芯片集成芯片卡PCI卡连接,用于完成硬件方面的实验正常通信。其芯片比较说明:如下表: 表1-2:8255A与8251芯片的比较

基于单片机交通灯课程设计报告书

三峡职业学院 课程设计 课题名称交通灯控制系统设计 交通灯控制系统设计 摘要:本系统由单片机最小系统、按键(开关)、LED 显示等等组成交通灯演示系统。系统用红、黄、绿三个发光二极管模拟交通灯的红灯、黄灯、绿灯的功能。系统除基本交通灯功能外,还具有倒计时(15秒)、时间设置、紧急情况(按键模拟传感器)处理等功能。 关键词:AT89C51,交通规则 引言:随着日新月异的电子变革,电子产品发生了突飞猛进的巨变,而在其中AT89C51扮演着一个重要的角色,AT89C51单片机具有广泛性、工具性、基础性的几个特点。单片机应成为中等技术人员的重要技术知识层面。近年来,我国工程技术队伍的梯队建设有了很大的进展。各类高职、高专如雨后春笋,涉电专业普遍开设单片机类课程。直观性表现在尽可能让我们在学习基本原理时能直观地看到相关实物及实物表演,使基本原理能实现形象化的表达;实践性表现在我们要通过许多实际操作来理解与掌握单片机的本质与技能;综合性表现在最终能使我们达到运用知识与技能来完成一个应用系统开发的全过程,有助于大学生动手能力的培养和提高,课程设计就是一门应用性很强的课程。如何让我们在学好基础知识的同时,迅速掌握设计应用技术,其中,实验与课程设计环节起着非常重要的作用。对我们学习和掌握单片机设计技术起到积极的作用。 一、方案比较、设计与论证

(1) 电源提供方案 为使模块稳定工作,须有可靠电源。我们考虑了两种电源方案 方案一:采用独立的稳压电源。此方案的优点是稳定可靠,且有各种成熟电路可供选用;缺点是各模块都采用独立电源,会使系统线路变复杂,且可能影响系统各模块的电路电平。 方案二:采用干电池提供电源。该方案的优点是系统简明扼要,线路易于梳理,节约成本;缺点是输出功率不高。 综上所述,我们选择第二种方案。 (2) 显示界面方案: 该系统要求完成数码管倒计时(15s)、状态灯发光二极管(红、黄、绿)的显示功能。基于上述原因,我们考虑了二种方案: 方案一:东西南北四个方向分别采用两位数码管显示倒计时。这种方案只显示有限的符号和数码字苻,并且制作PCB图时有许多的线相交,线路十分的复杂,不易制作原理图与PCB图,无法胜任题目要求。 方案二:东西南北四面各自采用红、黄、绿三个发光二极管显示,采用一个两位数码管显示倒计时,主要优点是易于调整元件在硬制板上摆放的位置,同时也易于PCB图的制作。 综上所述,我们选择方案二。 (3) 输入方案: 题目要求系统能即时的处理外部紧急情况产生的中断,我们讨论了两种方案: 方案一:采用矩阵键盘。该方案的优点是: 可提供较多I/O 口,实现更多的外部中断。直接站单片机的接口少的特点,但操作起来稍显复杂,而且编程也趋于复杂。 方案二:直接在IO口线上接上按键开关。因为设计时精简和优化了电路。 由于该系统对于交通灯等发光二极管的控制,只用单片机本身的I/O 口就可实现,只要一个开关来模拟一个外部的紧急中断,且本身的计数器及RAM已经够用,故选择方案二。 (4) 系统方案: 本系统的硬件采用模块化设计,以单片机控制器为核心,与LED信号灯电路等组成单片机控制信号系统.

数字电路课程设计交通灯控制器

数字电路课程设计交通灯控制器

数字电路课程设计报告书 题目:交通灯控制器 一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 4)选作:用两组数码管实现双向到计时显示。 三使用元件

四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。

2.分析系统的状态变化,列出状态转换表:(1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。(2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。(4)主干道红灯亮,支干道黄灯亮。表示主干道禁止通行,支干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如下表所示: 五单元电路的设计 1)秒脉冲产生电路 经过555芯片按一定的线路接上不同的电阻和电容就可产生周期不

数字系统课程设计-交通灯-实验报告

交通灯控制电路 摘要 在一个交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象的,可能会陷入一片混乱,甚至瘫痪。当然我们每个人都不希望这样。我们作为社会的一员,每人都有责任为它的更加先进和快捷做出力所能及的事情。我设计的这个交通控制系统可以通过交通灯控制东西方向车道和南北方向车道两条主次交叉道路上的车辆交替运行,用以减少交通事故的发生概率。并且经过这次实验使得我对电子技术课程内容的理解和掌握有了更深一层的认识,也学会使用半导体元件和集成电路,掌握电子电路的基本分析方法和设计方法,进一步提高分析解决实际问题的综合能力,也为将来的就业或继续深造做好准备。 一、任务 在城市道路上的交叉路口一般设置有交通灯,用于管理两条道路通行车辆。现有一条主干道和一条支干道的汇合点形成十字交叉口,为确保车辆安全、迅速的通行,在交叉路口的每条道上设置一组交通灯,交通灯由红、黄、绿3色组成。红灯亮表示此通道禁止车辆通过路口;黄灯亮表示此通道未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该通道车辆可以通行。要求设计一交通灯控制电路以控制十字路口两组交通灯的状态转换,指挥车辆安全通行。指挥车辆安全通行。 设计要求 1、基本要求 (1)设计一个十字路口交通灯控制电路,要求主干道与支干道交替通行。 主干道通行时,主干道绿灯亮,支干道红灯亮,时间为60秒。支干道 通行时,支干道绿灯亮,主干道红灯亮,时间为30秒。 (2)每次绿灯变红灯时,要求黄灯先亮5秒钟。此时另一路口红灯也不变。 (3)黄灯亮时,要求黄灯闪烁,频率为1Hz。 2、发挥部分 要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)均有倒计时显示。 二、设计方案选取与论证 1、所选方案的理由:本设计的交通灯控制电路是综合运用了74LS192芯片、7474芯片和NE555芯片等的集成电路。根据任务要求,用单片机或分立组件来实现是比较容易的,但是由于要求不能使用单片机设计,因此使用数字电路课程里学过的知识,运用它们来设计分析电路。即使用分立组件来实现。 2、方案的可行性、优缺点

EDA交通灯控制器课程设计报告

交通灯控制器课程设计 该交通信号控制器控制十字路甲、乙两条道路的红、黄和绿三色灯,指挥车辆和行人 安全通行。 功能要求如下: 1.只有在小路上发现汽车时,高速公路上的交通灯才可能变成红灯。 2.当汽车行驶在小路上时,小路的交通灯保持为绿灯,但不能超过给定的时间。(20s) 3.高速公路灯转为绿色后,即使小路上有汽车出现,而高速公路上并无汽车,也将在给定的时间内 保持绿灯。(60s)。 设计如下: ——1hz分频器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fen_pin1 is port(clk100hz:in std_logic; clk1hz:out std_logic);

end fen_pin1; architecture bhv of fen_pin1 is signal qan:std_logic_vector(3 downto 0); signal qbn:std_logic_vector(3 downto 0); signal cin:std_logic; begin process(clk100hz) begin if(clk100hz'event and clk100hz='1')then if qan="1001"then qan<="0000";cin<='1'; else qan<=qan+1;cin<='0'; end if; end if; end process; process(clk100hz,cin) begin if(clk100hz'event and clk100hz='1')then if cin='1' then if qbn="1001" then qbn<="0000"; else qbn<=qbn+1; end if ; end if ; end if ; end process; process(qan,qbn) begin if (qan="1001"and qbn="1001")then clk1hz<='1'; else clk1hz<='0'; end if; end process; end bhv; ——2hz分频器 library ieee; use ieee.std_logic_1164.all; entity fen_pin2 is port (clk100hz:in std_logic; clk2hz:out std_logic); end fen_pin2; architecture bhv of fen_pin2 is begin process(clk100hz) variable cnt:integer range 0 to 24; variable tmp:std_logic; begin

交通灯设计实验报告

交通灯设计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

目录 目录----------------------------------------------------------------第一页实验目的----------------------------------------------------------第二页系统设计----------------------------------------------------------第三页安装调试----------------------------------------------------------第七页元器件及清单----------------------------------------------------第九页心得体会------------------------------------------------------------第十页参考文献---------------------------------------------------------第十一页

一、实验目的 1.设计交通信号灯控制逻辑电路。 二、相关知识 1)十字路口的交通灯指挥着人和车辆的安全运行,实现红绿灯的自动指挥是城市交通管 理现代化的重要课题。通常红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮,表示停车;绿灯(G)亮,表示该条道路允许通行。 2)本实验要利用proteus设计仿真实现一个十字路口的交通灯控制系统,与其他控制系 统一样,本系统划分为控制器和受控电路两部分。控制器使整个系统按设定的工作方式交替指挥车辆及行人的通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。 三、实验任务 a)满足图1所示的顺序工作流程。图中设南北方向的红,黄,绿灯分别为 NSR,NSY,NSG,东西方向的红,黄,绿灯分别EWR,EWY,EWG。他们的工作方式,有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄灯亮。 b)应满足两个方向的工作时序;即东西方向亮红灯时间应等于南北方向亮黄绿灯时间之 和;南北方向亮红灯时间等于东西方向亮黄,绿灯时间之和。时序公作流程图如图2。假设每个单位为4s,则南北,东西方向绿,黄,红灯亮时间分别为20s,4s,24s,一次循环为48s。其中红灯亮的时间是绿灯,黄灯亮的时间之和,黄灯是间歇闪耀。 c)其中路口要有数字显示,作为时间提示,以便人们更直观的把握时间。具体为:当某 方向绿灯亮时,置显示器为某值,然后以每秒减1计数方式工作,直至减到“0”,十字路口红绿灯交换,一次工作循环结束,然后进入下一步另一方向的工作循环。 d)可以手动调整和自动控制,夜间为黄灯闪耀。

微机原理-交通灯课程设计报告

微型计算机原理及应用课程设计说明书 交通灯控制系统设计 班级:1401班 姓名: 学号: 指导教师: 日期:2016年6月

一.课程设计目的: 在车辆日渐增多的今天,人们也越来越关注交通问题,而交通灯在安全行车过程中无疑起着十分重要的作用。现在交通灯一般都设在十字路口,用红、绿、黄三种颜色的指示灯和一个倒计时的显示计时器来控制行车, 对一般情况下的安全行车、车辆分流发挥着作用, 但根据实际行车过程中出现的情况, 主要有如下几个缺点: 1、车道轮流放行时间相对固定, 不能根据实际情况中两个车道的车辆多少来设置改变通行时间;2、没有考虑紧急车辆通过时, 两车道应采取的措施。譬如, 有消防车通过执行紧急任务时, 两个车道的车都应停止, 让紧急车辆通过。因此如何合理高效地利用交通灯指示交通情况,是一个亟需解决的问题。 交通灯是采用计算机通过编写汇编语言程序控制的。红灯停,绿灯行的交通规则。广泛用于十字路口,车站, 码头等公共场所,成为人们出行生活中不可少的必需品,由于计算机技术的成熟与广泛应用,使得交通灯的功能多样化,远远超过老式交通灯, 交通灯的数字化给人们生产生活带来了极大的方便,而且大大地扩展了交通灯的功能。诸如闪烁警示、鸣笛警示,时间程序自动控制、倒计时显示,所有这些,都是以计算机为基础的。还可以根据主、次干道的交通状况的不同任意设置各自的不同的通行时间。或者给红绿色盲声音警示的人性化设计。现在的交通灯系统很多都增加了智能控制环节,比如对闯红灯的车辆进行拍照。当某方向红灯亮时,此时相应的传感器开始工作,当有车辆通过时,照相机就把车辆拍下。 要将交通灯系统产品化,应该根据客户不同的需求进行不同的设计,应该在程序中增加一些可以人为改变的参数,以便客户根据不同的需要随时调节交通灯。因此,研究交通灯及扩大其应用,有着非常现实的意义 二.课程设计内容: 设有一个十字路口,1、3为南北方向,2、4为东西方向。初始为四个路口的红灯全亮,之后,1、3路口的绿灯亮,2、4路口的红灯亮,1、3路口方向通车;延时一段时间后,1、3路口的绿灯开始闪烁,闪烁若干次以后,1、3 路口黄灯亮,后1、3路口红灯亮,而同时2、4路口的绿灯亮,2、4路口方向通车;延时一段时间后,2、4 路口的绿灯熄灭,而绿灯开始闪烁,闪烁若干次以后,1、3路口红灯亮,而2、4路口黄灯亮,再切换到1、3路口方向,之后重复上述过程。 三.问题分析及硬件介绍: 本次课程设计的内容为利用8086、8255等接口,实现控制十二个二极管亮灭的过程。需要PC机一台,8255并口:用做接口芯片。LED:共12个LED灯。还有8086芯片,8253a定时器等器材. 将8086和74273、74154和8255连接起来.需用到8255的六个输出端口。所以要求8255工作在方式0,因为二极管是共阳的,所以输出低电平二极管才会亮。8086用作cpu,三个74273是锁存器,锁存地址。 用软件proteus7.8画出电路图,加载程序到8086cpu,进行模拟。 系统硬件设计

电子技术课程设计报告交通灯控制电路设计

电子技术课程设计报告——交通灯控制电路设计 上海大学机自学院自动化系

电气工程专业 : 学号: 指导老师:徐美华 目录 目录2 一、主要用途:3 二、设计任务及要求:3 三、设计思路步骤及仿真调试4 1.设计分析4 2.交通灯模块:5 3.脉冲信号模块5 4.减法计数器及数码显示管模块6

5.控制模块7 6.对所使用芯片进行介绍:8 7.交通灯工作图12 四、实习小结15 一、主要用途: 有效管制交通、疏导交通流量、提高道路通行能力、有利于减少交通事故。 二、设计任务及要求: 设计一个主干道和支干道十字路口的交通灯控制电路,其要求如下: 1.一般情况下,保持主干道畅通,主干道路灯亮、支干道红

灯亮,并且主干灯亮的时间不少于60 S; 2.当主干道绿灯亮超过60 S,且支干道有车时,主干道红灯 亮,支干道绿灯亮,但支干道绿灯亮的时间不得超过30S; 3.每次主干道或支干道绿灯亮变红灯时,黄灯先亮5S。 三、设计思路步骤及仿真调试 1.设计分析 计数器能进行60进制、30进制以及5进制的减数计数,在计数器与译码器及与非门的工作下实现交通灯信号灯的切换。有以下四个状态: a.主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,支干道禁止车辆通行。当主干道绿灯亮够60秒后,控制器发出状态转换信号,系统进入下一个状态。 b.主干道黄灯亮,支干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够5秒后,控制器发出状态转换信号,系统进入下一个状态。 c.主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够30秒后,控制器发出状态转换信号,系统进入下一个状态。

交通灯控制器课程设计说明书

交通灯控制器课程设计说明书课程设计说明书 学生姓名:____________ 学号:________________ 学院:_______________________________________ 专业:_______________________________________ 题目:_____________ 交通灯控制器_____________ 指导教师:职称:

2010年1月15日 目录 1、实验任务 (3) 2、实验目的 (3) 3、设计方案 (3) 4、参考电路设计 (4) 5、实验仪器设备 (9) 6、实验心 得 (10)

一.实验任务 设计一个交通灯控制器,具体要求如下: 1、以红,黄,绿三种颜色的发光管作为交通灯。绿灯亮表示可以通行, 红灯 亮表示禁止通行.黄灯亮表示未通过的车辆禁止通行. 2、每次放行时间为30秒,红转绿或绿转红时,需黄灯亮5秒作为过度。 二.实验目的 1、掌握电子电路的一般设计方法和设计流程。 2、学习使用PROTEL软件绘制电路原理图和印刷版图。] 3、掌握应用EWB对设计的电路进行仿真,通过仿真结果验证设计的正确 性。 三.设计方案 交通灯控制器参考方案 图1 图1为交通灯控制器的一个参考设计方案。在这一方案中,系统主要由控制器.定时器?脉冲信号发生器.译码器?信号灯组成。 TL.TY为定时器的输出信号,ST为控制器的输出信号。 当车道绿灯亮时,定时器开始记时,当记时到30秒时,TL输出为1,否则,TL=0; 当车道黄灯亮后,定时器开始记时,当记时到5秒时,TY输出为1,否则,TY=0;

ST 为状态转换信号,当定时器数到规定的时间后,由控制器发出状态转 换信号,定时器开始下一个工作状态的定时计数。 控制状态为: 表1 ?状态转换 表 图2画出了控制器的状态转换图,图中TY 和TL 为控制器的输入信号, ST 为控制器的输出信号。 00 .01 . 11. 交通信 号灯 有四个状态, 用SO. 来表 SI. S2 ? S3 示,并且分别 分配 编码状态为

数电交通灯课程设计报告

电子技术课程 设计报告 二 级 学 院 机械与电子工程学院 专 业 电气工程及其自动化 年 级 2014级 学 号 14106***** 学 生 姓 名 苏 运 指 导 教 师 杨** 完 成 时 间 2015 年12 月25 日 简易交通灯的设计

简易交通灯的设计 作者:苏运 指导老师:杨** 摘要:利用数码管,74LS48译码器、74LS192计数器、ne555、与非门、或非门、触发器、蜂鸣器和小彩灯等电子元件,制作简易交通灯,实现15秒倒计时开始后,到达5秒时红灯熄灭,黄灯闪烁同时蜂鸣器响,到达0秒时切换到另外两个方向的红绿灯亮的功能。经测试,系统达到红绿灯转换和显示的基本要求,具有电路美观稳定性高的优点。 关键词:数电交通灯;交通灯;简易交通灯;计数器

目录 1 设计要求及方案选择 (1) 1.1设计要求 (1) 1.2方案选择 (1) 2 理论分析与设计 (1) 2.1脉冲发生器电路的分析及设计 (1) 2.2定时器电路的分析及设计 (2) 2.3交通灯和蜂鸣器电路的分析及设计 (3) 2.4控制器电路的分析及设计 (4) 2.5数码显示电路的分析及设计 (4) 3电路设计 (5) 3.1脉冲发生器电路的设计 (5) 3.2定时器电路的设计 (6) 3.3交通灯和蜂鸣器电路的设计 (6) 3.4控制电路的设计 (7) 3.5码显示电路的设计 (9) 3.6电源开关和指示灯电路的设计 (9) 4系统测试实验 (10) 4.1调试所用的基本仪器清单 (10) 4.2软件仿真调试 (10) 4.3实物电路板的调试 (10) 4.4时钟脉冲信号的测定 (10) 4.5调试结果 (11) 4.6测试结果分析 (11) 5 总结 (12) 附录 (13) 附录A 仿真图 (13) 附录B 原理图 (13) 附录C PCB图 (14) 附录D 实物图 (14) 附录E 脉冲信号测试图 (15) 附录F 元件清单 (15) 参考文献 (16)

单片机课程设计_基于单片机的交通灯控制系统设计说明

目录 1.硬件设计方案............................................... - 3 -1.1总方案设计 (3) 1.2中央处理单元 (4) 1.3红、绿、黄灯显示部分 (4) 1.4时间显示部分 (4) 1.5按键部分 (5) 2.主要电路原理分析和说明 ..................................... - 6 -2.1红、绿、黄灯显示电路.. (6) 2.2时间显示电路 (6) 2.3按键电路 (8) 2.4时钟及复位电路, (9) 其电路原理图如图2.4所示 (9) 2.5完整电路原理图 (9) 2.6单片机相应管脚及功能说明 (12) 3.软件设计流程及描述......................................... - 14 - 3.1程序流程图 (14) 4.调试....................................................... - 16 -(1)硬件调试 (16) (2)软件调试 (16)

5.结束语..................................................... - 17 - 6.参考文献................................................... - 17 - 7.附录....................................................... - 19 - 1.源程序代码 (19) 2.实物图 (22)

交通灯设计数电课程设计报告-数电实验交通灯课设

课程设计论文 题目:交通灯定时控制系统的设计、制作 学院: ____ 专业: ____ 学号: _____ 姓名: ________ 指导教师: _________ 完成日期:

设计任务书 在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。 设计一个十字路口的交通灯定时控制系统,基本要求如下: (1)甲车道和乙车道两条交叉道路上的车辆交替运行,每次 通行时间都设为 25 秒。 (2)每次绿灯变红灯时,黄灯先亮 5 秒钟,才能变换运行车道。 (3)黄灯亮时,要求每秒钟闪亮一次。 选做扩展功能: (4)十字路口有数字显示灯亮时间,要求灯亮时间以秒为单 位作减计数; (5)要求通行时间和黄灯亮的时间均可在 0~99s 内任意设定。

目录 一、交通灯设计原理?????????????????? 4 二、单元电路的设计????????????????? (8) 1、秒脉冲发生器?????????????????..8 2、定时器???????????????????.? ..9 3、控制器?...??????????????????..11 4、译码器?????????????????...?? ..14 5、显示部分?????????????????? (16) 6.整个交通灯控制系统的布局??????????? (17) 三、仿真过程与效果分析 (17) 四、元器件清单 (19) 五、体会总结 (20) 六、参考文献 (21) 七、附录

智能交通灯系统课程设计报告

江苏师范大学物电学院课程设计报告 课程名称:单片机课程实训 题目:智能交通灯系统 专业班级: 学生姓名: 学生学号: 日期: 指导教师:

说明: 1、报告中的第一、二、三项由学生在课程设计开始前填写,由指导教师指 导并确认签字。 2、学生成绩由指导教师根据学生的设计情况给出各项分值及总评成绩,并 填写成绩评定表。 3、所有学生必须参加课程设计的答辩环节,凡不参加答辩者,其成绩一律 按不及格处理。答辩小组成员应由2人及以上教师组成。答辩后学生根据答辩情况填写答辩记录表。 4、报告正文字数一般应不少于3000字,也可由指导教师根据本门课程设 计的情况另行规定。 5、平时表现成绩低于6分的学生,取消答辩资格,其该课程设计成绩按不 及格处理。 6、课程设计完成后,由指导教师根据完成情况写出总结。 7、此表格式为江苏师范大学物理与电子工程学院提供的基本格式,指导教 师可根据本门课程设计的特点及内容做适当的调整。

一、课程设计目的、任务和内容要求: 通过该课程设计使学生进一步了解和加深智能化仪器设计的一般原则;熟练掌握智能化仪器与装置的软、硬件设计方法;掌握仪器的软件调试及软硬件联合统调方法与技能。掌握仪器的接口技术和程控方法;熟练掌握仪表总线的工作原理、设计步骤、编程及调试;掌握C设计软件的编程与调试方法;掌握网络化仪器设计编程与调试方法。 本课程设计的任务就是设计一个智能交通灯系统。鼓励学生在熟悉基本原理的前提下,与实际应用相联系,提出自己的方案,完善设计。 具体设计任务如下: 1.熟悉QG8芯片与ISD语音模块的工作原理; 2.写出智能交通灯系统的设计方案; 3.用硬件加以实现; 4.写课程设计报告。 设计要求: 1.数码管显示倒计时,且时间可调; 2.红绿灯亮时间与数码管一致; 3.红灯亮时对应语音模块提示; 4.绿灯亮时对应语音模块提示。

相关文档
相关文档 最新文档