文档库 最新最全的文档下载
当前位置:文档库 › 电子技术课程设计报告——数字秒表

电子技术课程设计报告——数字秒表

电子技术课程设计报告

学院:

专业班级:

学生姓名:

学号:

指导教师:

成绩:

数字秒表设计报告

一. 设计要求

1.具有启动、暂停/继续、清零功能;

2.用6个数码管分别显示百分秒、秒和分钟。

二. 设计的作用、目的

通过对数字秒表的设计,进行理论与实际的结合,提高与计算机有关设计能力,提高分析、解决计算机技术实际问题的能力。

三.设计的具体实现

1.系统概述

简单介绍系统设计思路与总体方案的可行性论证,各功能块的划分与组成,全面介绍工作过程或工作原理。

综合各种报警器的优缺点,并根据本设计要求及性能指标,兼顾可行性、可靠性和经济性等各种因素,确定数字秒表主要组成部分的方框图如图1所示。由555多谐振荡器构成的脉冲发生器,由74LS160构成的计数器,还有LED显示器,以及由开关构成的具有清零,启动,暂停继续功能的控制按键电路。

数字式秒表,就需要显示数字。根据设计要求,要用数码管来做显示器。

题目要求最大记数值为99分59.99秒,则需要一个7段数码管作为秒位(有小数点〉和三个7段数码管作为分秒位。要求计数分辨率为0.01秒,那么我们需要相应频率

l

的信号发生器。秒表核心部分——计数器,此次选择74LS160计数器。

它具有同步置数和异步清零功能。主要是利用它可以十分频的功能。

选择信号发生器实现电路时可以有多种方案:

方案一:采用集成电路555定时器与电阻和电容组成的多谐振荡器;

方案二:一种是用晶体振荡器。石英晶振荡器精度很高,一般都需要多级分频。

技术性比较:

由于我们的实验设计是设计一个简易的数字秒表,分辨率为0.01,石英晶振荡器的精度较555定时器较高。

经过比较,最终选择方案一。

2.单元电路设计(或仿真)与分析

详细介绍各单元电路的选择、设计及工作原理分析、仿真,并介绍有关参数的计算及元器件参数的选择等。仿真过程中是否遇到一些技术问题,给出现象记录、原因分析、解决措施及效果。

各部分工作原理如下:

控制电路:它是由两个74LS00集成与非门元件构成的基本R-S触发器,接在机械开关K的后面,防止开关K在打开和闭合时一些假信号窜入逻辑电路。用来控制秒表的开始,暂停。

复位电路:作为清零复位用。它是由电源,开关和一个电阻组成的电路。

0.1秒脉冲发生器电路:它由555集成定时器元件和外围的电阻和电容等元件构成的多谐振荡器。调节滑动电阻的数值,可以改变脉冲发生器的输出频率。

计数器电路:从进位制来分,有二进制计数器,十进制计数器等多种形式。在此采用的74LS160十位二进制计数器,即 8421编码方式。

译码器电路:是将数码转换为一定的控制信号。在此由74LS47集成元件构成,它能将十个二进制数码转换为输出端上的电平信号以控制显示器。

显示器电路:有辉光数码管和荧光数码管等多种显示电路。此次设计中采用的是共阳极七段LED显示器。

本电路选用了三个74LS160D计数器,三个七段数码管,两个非门,一个555定时器,三个开关,一个与非门,还有一个两个电阻两个电感构成。

本电路的电阻值和电感值根据f=1.44(R1+2R2)选定。

四.心得体会、存在问题和进一步的改进意见等

我认为,在这学期的实验中,不仅培养了独立思考、动手操作的能力,在各种其它能力上也都有了提高。更重要的是,在实验课上,我们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。这对于我们的将来也有很大的帮助。以后,不管有多苦,我想我们都能变苦为乐,找寻有趣的事情,发现其中珍贵的事情。就像中国提倡的艰苦奋斗一样,我们都可以在实验结束之后变的更加成熟,会面对需要面对的事情。

回顾起此电子课程设计,至今我仍感慨颇多,从理论到实践,在这段日子里,可以说得是苦多于甜,但是可以学到很多很多的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次电子课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问

题,可以说得是困难重重,但可喜的是最终都得到了解决。

实验过程中,也对团队精神的进行了考察,让我们在合作起来更加默契,在成功后一起体会喜悦的心情。果然是团结就是力量,只有互相之间默契融洽的配合才能换来最终完美的结果。

此次设计也让我明白了思路即出路,有什么不懂不明白的地方要及时请教或上网查询,只要认真钻研,动脑思考,动手实践,就没有弄不懂的知识,收获颇丰。

五.附录

六.参考文献

[1]阎石.数字电子技术基础(第五版〉[M].北京:高等教育出版社. 2006[2]中国集成电路大全编委会.中国集成电路大全—TTL集成电路[M].北京:国防工业出版社. 1985: 567-600

[3]房建东荀延龙王艳荣等.电子技术[M].呼和浩特:内蒙古大学出版社. 2004

[4]超星数字图书馆,中国学术期刊,根据题目自查

数字秒表课程设计报告

《电子技术》课程设计 题目:数字秒表设计 专业:电气工程系 班级:本电气自动化126 姓名:黎梓浩学号:11 指导老师:钟立华 小组成员:曾志辉麦照文黎梓浩成绩:

目录 摘要,关键词,引言 3 一.设计目的 3 二.设计总体框图4三.设计原理及说明 4 四.单元电路设计 5 五.器件选择9 六.设计电路图9 七.安装与调试9 八.设计心得与体会10 九.参考文献11十.附录(实物图、原理图)12

摘要:本文的数字秒表设计是利用数字电路,实现时、分、秒计时功能的装置。具有较长的使用寿命,因此得到了广泛的应用。 关键词:计时精确计数器显示器74LS160 引言:在科技高度发展的今天,集成电路和计算机应用得到了高速发展。尤其是计算机应用的发展。它在人们日常生活中已逐渐崭露头角,大多数电子产品多是有计算机电路组成,如:手机、mp3等。而且将来的不久他们的身影将会频繁的出现在我们身边。各种家用电器多会实现微电脑技术。电脑各部分在工作时多是一时间为基准的。本文就是基于计算机电路的时钟脉冲信号、状态控制等原理设计出的数字秒表。秒表在很多领域充当一个重要角色。在各种比赛中对秒表的精确度要求很高,尤其是一些科学实验,他们对时间精确度达到了几纳秒级别。 一.设计目的 1.了解数字秒表的主体电路的组成及工作原理; 2.熟悉集成电路及有关电子原件的使用; 3.学习和掌握数字电路中的时钟发生器及计数、译码显示等单元电路的综合应 用; 4.掌握电子电路一般设计方法和设计流程; 5.掌握protel等绘图软件的使用。

二.设计总体框图 三.设计原理及说明 数字秒表具有操作方便、使用简单、计数精准等使用优点,在日常生活中的到了广泛认可和使用。 数字秒表的设计属于中规模集成芯片设计。本设计中CP脉冲采用555多谐振荡方波脉冲,频率为100Hz。如果需要更精确的计数条件,可以选择石英晶振输入。主计时电路采用3片74LS160构成的同步清零计数器,毫秒计数级为100进制,即毫秒计数100次向上进1,依此类推,秒计数为60进制。输出为3片7448芯片匹配3枚共阴极数码管。其中最小计时精度为0.01S(即10mS),能满足一般的计时场合使用。最大计时时长为59秒9,超过1分钟重新从0开始计数。暂停功能采用阻断CP脉冲输入设置,具有较高的优先级。清零功能用与非门并联计数器同步清零(清零时控制脉冲为高,计数器内部清零脉冲为无效的高状态,计数器被强制清零),由双向开关控制,在任意时间可以使用(不管暂停与否)。

数字电子技术课程设计-数字秒表

数字电子技术课程设计报告 课程设计(大作业)报告 课程名称:数字电子技术 设计题目:数字秒表 院系:信息技术学院 班级: 设计者: 学号: 指导教师:张虹 设计时间:2015.12.14--2015.12. 18 信息技术学院

昆明学院课程设计(大作业)任务书

目录 一、设计目的 (1) 二、设计要求和设计指标 (1) 三、设计内容 (1) 3.1电子秒表工作原理 (1) 3.1.1总体设计 (2) 3.1.2 脉冲电路设计 (2) 3.1.3总清零控制电路 (6) 3.1.4时间计数单元 (6) 3.1.5分频电路 (8) 3.1.6码驱动及显示单元 (9) 3.1.7多功能数字秒表电路的组合 (10) 3.2仿真结果与分析 (12) 3.2.1 时钟发生器的测试 (12) 3.2.2 计数、译码、显示单元的测试 (13) 3.2.3 整体测试 (13) 3.2.4 电子秒表准确度的测试 (14) 四、本设计改进建议 (14) 五、总结 (15) 六、主要参考文献 (16)

一、设计目的 1、学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数、译码显示器等单元电路的综合应用。 2、学习电子秒表的调试方法。 3、秒表由五位七段LED显示器显示,其中一位显示“min”,四位显示“s”,其中显示分辨率为0.01s,计时范围为0~9分59秒99毫秒;具有清零、启动计时、暂停计时及继续计时等功能;控制开关为两个;启动(继续)/暂停计时开关和复位开关。 二、设计要求和设计指标 制作一个数字秒表,将单个数字秒表组合设计成可以同时对多人进行计时的多人数字秒表。 电子秒表的工作原理就是不断输出连续脉冲给加法计数器,而加法计数器通过译码器来显示它所记忆的脉冲周期个数。 1.时钟发生器:利用石英震荡555定时器构成的多谐振荡器做时钟源,产生脉冲。 2.记数器:对时钟信号进行记数并进位,百分之一秒和十分之一秒以及个位秒之间10进制,十位秒为六进制; 本设计采用可预置的十进制同步加法计数器74LS90构成电子秒表的计数单元。 3.译码器:对脉冲记数进行译码输出到显示单元中。 4.显示器:采用4片LED显示器把各位的数值显示出来,是秒表最终的输出,共有四位,精确到百分之一秒;74LS48是BCD码到七段码的显示译码器。 5.控制器:控制电路是对秒表的工作状态(记时开始/暂停)进行控制的单元。属低电平直接触发的触发器,有直接置位、复位的功能。 三、设计内容 3.1电子秒表工作原理 元器件清单

数字式秒表的课程设计报告

数字式秒表的课程设计报告 目录 第一章系统概述------------------------------------------------------------------------------1 1.1系统设计思路与总体方案--------------------------------------------------------------1 1.2总体工作过程-----------------------------------------------------------------------------2 1.3各功能块的划分和组成-----------------------------------------------------------------2 1.4芯片简介-----------------------------------------------------------------------------------2 第二章单元电路的设计和总体分析------------------------------------------------------9 2.1毫秒信号的发生电路--------------------------------------------------------------------9 2.2分、秒、毫秒计数电路-----------------------------------------------------------------10 2.3组合设计-----------------------------------------------------------------------------------12第三章总体电路的设计与安装------------------------------------------------------------15 3.1 PCB电路板的制作----------------------------------------------------------------------15 3.1安装调试的步骤--------------------------------------------------------------------------16 3.2遇到的主要的问题及注意事项--------------------------------------------------------17 第四章总结------------------------------------------------------------------------------------18 附录1元器件明细表------------------------------------------------------------------------19 附录2总原理接线图------------------------------------------------------------------------19 参考文献---------------------------------------------------------------------------------------20

电子技术课程设计报告——数字秒表

电子技术课程设计报告 学院: 专业班级: 学生姓名: 学号: 指导教师: 成绩:

数字秒表设计报告 一. 设计要求 1.具有启动、暂停/继续、清零功能; 2.用6个数码管分别显示百分秒、秒和分钟。 二. 设计的作用、目的 通过对数字秒表的设计,进行理论与实际的结合,提高与计算机有关设计能力,提高分析、解决计算机技术实际问题的能力。 三.设计的具体实现 1.系统概述 简单介绍系统设计思路与总体方案的可行性论证,各功能块的划分与组成,全面介绍工作过程或工作原理。 综合各种报警器的优缺点,并根据本设计要求及性能指标,兼顾可行性、可靠性和经济性等各种因素,确定数字秒表主要组成部分的方框图如图1所示。由555多谐振荡器构成的脉冲发生器,由74LS160构成的计数器,还有LED显示器,以及由开关构成的具有清零,启动,暂停继续功能的控制按键电路。 数字式秒表,就需要显示数字。根据设计要求,要用数码管来做显示器。 题目要求最大记数值为99分59.99秒,则需要一个7段数码管作为秒位(有小数点〉和三个7段数码管作为分秒位。要求计数分辨率为0.01秒,那么我们需要相应频率 l 的信号发生器。秒表核心部分——计数器,此次选择74LS160计数器。 它具有同步置数和异步清零功能。主要是利用它可以十分频的功能。 选择信号发生器实现电路时可以有多种方案: 方案一:采用集成电路555定时器与电阻和电容组成的多谐振荡器; 方案二:一种是用晶体振荡器。石英晶振荡器精度很高,一般都需要多级分频。 技术性比较: 由于我们的实验设计是设计一个简易的数字秒表,分辨率为0.01,石英晶振荡器的精度较555定时器较高。

数字秒表设计报告

摘要 要求设计一个计数范围在0.0-9.9秒的数字秒表,精确度为0.1秒。电路设计基本包括0.1秒脉冲发生器、信号控制端、整形电路、计数电路、译码电路和显示器这几部分构成。 0.1秒脉冲发生器由555定时器构成的多谐振荡电路实现,信号控制端由D触发器实现,即74LS74N,能够对整个电路进行清零、计数、停止和复位的作用。计数器由两个十进制BCD 码74LS160级联而成。在计数器的四个输出端分别接译码器的四个置数端,译码器由74LS48实现。这个电路设有两个开关s1,s2,来实现对电路的清零、计数、暂停、复位的控制。这样,一个简易的数字秒表便设计完成了。 关键字:555定时器、D触发器、编码、译码 ABSTRACT Design a digital stopwatch counting range in 0.0-9.9 seconds, accuracy of 0.1 seconds. Basic including 0.1 second pulse generator circuit design, signal control terminal, shaping circuit, counting circuit, decoding circuit and a display of this a few parts. More than 0.1 second pulse generator composed of 555 timer harmonic oscillation circuit implementation, signal control comprised D flip-flop, namely 74LS74N, can be reset to the whole circuit, counting, stop and reset. Two decimal counter by BCD 74LS160 cascade. In the four output end of the counter four load respectively at the decoder side, decoder by 74LS48 implementation. This circuit is equipped with two switch S1, S2, to implement to reset circuit, counting, suspend, and reset the control. So will design a simple digital stopwatch is complete. Key Word:555 timer, D flip-flop, encoding and decoding

课程设计报告数字秒表的设计

目录 1 引言 (1) 1.1 课程设计的目的 (1) 1.2 课程设计的内容 (1) 2 EDA、VHDL简介 (1) 2.1 EDA技术 (1) 2.2 硬件描述语言——VHDL (2) 3设计过程 (4) 3.1 设计规划 (4) 3.2 各模块的原理及其程序 (4) 3.2.1控制模块 (5) 3.2.2时基分频模块 (5) 3.2.3计时模块 (6) 3.2.4显示模块 (7) 4系统仿真 (9) 结束语 (13) 致谢 (14) 参考文献 (15) 附录 (16)

1 引言 在科技高度发展的今天,集成电路和计算机应用得到了高速发展。尤其是计算机应用的发展。它在人们日常生活已逐渐崭露头角。大多数电子产品多是由计算机电路组成,如:手机、mp3等。而且将来的不久他们的身影将会更频繁的出现在我们身边。各种家用电器多会实现微电脑技术。电脑各部分在工作时多是一时间为基准的。本文就是基于计算机电路的时钟脉冲信号、状态控制等原理设计出的数字秒表。秒表在很多领域充当一个重要的角色。在各种比赛中对秒表的精确度要求很高,尤其是一些科学实验。他们对时间精确度达到了几纳秒级别。 1.1 课程设计的目的 本次设计的目的就是在掌握EDA实验开发系统的初步使用基础上,了解EDA技术,对计算机系统中时钟控制系统进一步了解,掌握状态机工作原理,同时了解计算机时钟脉冲是怎么产生和工作的。在掌握所学的计算机组成与结构课程理论知识时。通过对数字秒表的设计,进行理论与实际的结合,提高与计算机有关设计能力,提高分析、解决计算机技术实际问题的能力。通过课程设计深入理解计算机结构与控制实现的技术,达到课程设计的目标。 1.2 课程设计的内容 利用VHDL语言设计基于计算机电路中时钟脉冲原理的数字秒表。该数字秒表能对0秒~59分59.99秒范围进行计时,显示最长时间是59分59秒。计时精度达到10ms。设计了复位开关和启停开关。复位开关可以在任何情况下使用,使用以后计时器清零,并做好下一次计时的准备。 2 EDA、VHDL简介 2.1 EDA技术 EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子CAD通用软件包,它根据硬件描述语言HDL完成的设计文件,自动完成逻辑编译、化简、分割、综合、优化、布局布线及仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。目前EDA主要辅助进行三个方面的设

数字式秒表的课程设计

《电子技术》课程设计报告 题目数字式秒表 学院(部)电控学院 专业自动化 班级32010701 学生姓名罗天美 学号37 12 月14 日至12 月24 日共2 周 指导教师(签字)肖梅

前言 本课程设计是进一步的数电学习,是数电知识在实际生活当中的主要应用之一,我们本着学以致用的原则,对学过的知识进行进一步的深化理解,以达到最终掌握的目的。因此本课程设计通过对所学电路的比较分析,选择最优方案,通过这个应用实例,我们在掌握有关知识的过程当中,即可以提高学习兴趣,又可以对数字集成电路器件的使用形成一个完整的概念。 本课设中的各项模块,没有不以仿真软件的结果为实际支撑,这样做的目的可以锻炼我们的动手能力。在本次课程设计当中,我们通过上网查询我们这个题目的有关资料之外,还通过在图书馆找寻相关书籍,以及我们数电课本和数电实验指导书等众多途径获得相关资料。首先,秒表的分辨率为0.01秒,故要获得频率为100 HZ的基准毫秒脉冲;其次,分、毫秒计数器为100进制计数器,秒计数器为60进制计数器,还要通过译码器对计数器的输出进行译码,再通过七段数码管进行最后的时间显示。最后,用一个控制键实现秒表的启动/暂停/继续计数功能,用另一个控制健实现秒表的清零功能。分别实现以上模块功能,即可设计出符合要求的数字秒表。 在秒表的设计过程中,杨莎莎,李勇霞,罗天美为一组,罗天美负责获取基准脉冲的电路的设计,杨莎莎负责控制电

路选择这个模块功能实现的设计,李勇霞同学负责计数□译码□显示单元的设计,而设计的整体框图和最后的总图连接由我们三人共同讨论决定。最终,经过我们三人的共同努力,实现了要求的功能的逻辑设计,尽管,在最终的仿真阶段还有一些问题未能解决。 在此过程当中,因为我们知识有限,不免会有错误出现,还望老师批评指正。

电子技术课程设计报告--数字电子秒表设计

目录 第一章引言 (1) 1.1 设计目的 (1) 1.2 设计任务内容 (1) 1.3 设计要求 (1) 第二章数字电子秒表设计 (3) 2.1 仪器设备: (3) 2.2 电子秒表的基本组成和工作原理 (3) 2.2.1 电子秒表电路的基本组成框图 (3) 2.2.2 电子秒表设计电路图 (3) 2.3 芯片简介 (5) 2.4 PCB图及覆铜后的Top Layer和Bottom Layer (7) 2.5各种仿真图 (10) 第三章结论 (14) 参考文献: (15)

第一章引言 随着电子技术的发展,电子技术在各个领域的运用也越来越广泛。人们对它的认识也逐步加深。在秒表的设计上功能不断完善,在时间的设计上不断的精确,人们也利用了电子技术以及相关的知识解决了一些实际问题。 电子秒表广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也是用于对时间测量精度要求较高的场合。秒表有机械秒表和电子秒表两类。机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒;电子秒表用微型电池做能源,电子元件测量显示,可精确至千分之一秒,广泛用于科学研究、体育运动及国防等方面。在当今非常注重工作效率的社会环境中,定时器能给我们的工作、生活以及娱乐带来很大的方便。随着集成技术的发展,尤其是中、大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。随着现代社会的电子技术的迅速发展,要求我们要理论联系实际,数字电路课题设计的进行使我们有了这个好机会,通过这种综合性的训练,我们的动手能力、实际操作能力、综合知识应用能力得到了更好的提升。 本设计是基于数字电路和模拟电路的电子秒表设计思路及实现方法。本设计中,充分利用数字电路的计数、现实的优良特性,使整个设计达到了比较满意的效果。 1.1 设计目的 1.1.1 巩固、深化和扩展理论知识与初步的专业技能。 1.1.2 熟悉计数器的级联及计数、译码、显示电路的整体配合。 1.1.3 建立分频的基本概念。 1.2 设计任务内容 1.2.1 完成数字电子秒表的设计,绘出电路原理图。 1.2.2 搭接电子秒表整体实验电路。 1.2.3 调教0.01秒信号源。 1.2.4 测试电子秒表清零、开始计时、停止计时功能。 1.3 设计要求

数字秒表课程设计报告

单片机课程设计报告 数字秒表 学院:_____________ 班级:_____________ 组员:_____________

数字秒表的设计 一、实验目的 1.学习74HC573芯片的结构和工作原理。 2.学习LED数码管显示的原理及编程方法。 3.掌握74HC573扩展键盘和显示器的原理和编程方法。 4.掌握AT89C52单片机定时器与中断的使用。 5.掌握数字秒表的原理和实现方法。 二、设计要求 1.设计一个数字秒表,要求从00:00秒开始计时,最大计时时间为99:99秒。并且具有启动、暂停和清零(复位)等功能。 2.画出硬件连接电路图,说明各个控制信号的作用。 3.画出程序流程图,编写程序,硬件连接调试,直至正确。 4编写课程设计报告。 三、基本原理 数字秒表的工作过程为:初始值为00:00,当启动键按下后,计时开始,以0.01秒为单位加1计时,当暂停键按下后,计时停止,当前值保持不变,直到清零(复位)键按下后,计数值清零,变为00:00,等待启动键再次按下。当计时到99:99时,再计0.01秒后变为00:00。 数字秒表是以0.01S为最小定时单位的定时器,可以利用52单片机自身所具有的16位定时/计数器实现0.01S定时,每0.01S定时到,修改显示值,送4位LED显示。 四、实验内容 <一>实验器材与电路图 电容30pf的两个 电阻10k的三个 晶振一个 AT89C52单片机一块 排阻一个 74HC573芯片一个 导线与开关若干 数码管一个

运行图 复位图 <二>程序 #include unsigned char tab[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; //共阴数码管 0-9 unsigned char tab_point[]={0xbf,0x86,0xdb,0xcf,0xe6,0xed,0xfd,0x87,0xff,0xef};

数字电子技术课程设计电子秒表

数字电子技术课程设计电 子秒表 The following text is amended on 12 November 2020.

数字电子技术课程设计报告题目:电子秒表的设计 专业: 班级: 姓名: 指导教师: 课程设计任务书学生班级:学生姓名:学号: 设计名称:电子秒表的设计 起止日期: 指导教师:***

目录 绪论- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 4 第一章、设计要求

设计任务及目的- - - - - - - - - - - - - - - - - - - - - - -- - - - - - - - -5 系统总体框图- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 5 、设计方案分析- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -6 第二章、电路工作原理及相关调试 电路工作原理- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 7 相关调试- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -- 11 第三章、实验总结 附录A 电子秒表原理图 附录B 相关波形 元件清单 参考文献 绪论 随着电子技术的发展,电子技术在各个领域的运用也越来越广泛,渗透到人们日常生活的方方面面,掌握必要的电工电子知识已经成为当代大学生特别是理工类大学生必备的素质之一。 电子秒表是日常生活中比较常见的电子产品,秒表的逻辑结构主要由时基电路、分频器、二一五一十进制异步加法记数器、数据选择器和显示

电子秒表设计实验报告

电子秒表设计实验报告标准化管理处编码[BBX968T-XBB8968-NNJ668-MM9N]

淮阴工学院 《数字电子技术》课程实验期末考核 2014-2015学年第2学期 实验名称:电子秒表电路的设计 班级: 学号: 姓名: 学院:电子与电气工程学院 专业:自动化 系别:自动化 指导教师:《数字电子技术》实验指导教师组 成绩: 2015年07月

电子秒表电路的设计 一、实验目的 1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。 2 .学习电子秒表的调试方法。 二、实验原理 图11 -1 为电子秒表的电原理图。按功能分成四个单元电路进行分析。 1.基本RS 触发器 图11 -1 中单元I 为用集成与非门构成的基本RS 触发器。属低电平直接触发的触发器,有直接置位、复位的功能。 它的一路输出作为单稳态触发器的输入,另一路输出Q 作为与非门5 的输入控制信号。 按动按钮开关K 2(接地),则门1 输出=1 ;门2 输出Q =0 ,K 2 复 位后Q 、状态保持不变。再按动按钮开关K 1 , 则Q 由0 变为1 ,门5 开启,为计数器启动作好准备。由1 变0 ,送出负脉冲,启动单稳态触发器工作。 基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。

2. 时钟发生器 图11 -1 中单元Ⅲ为用555 定时器构成的多谐振荡器,是一种性能较好 的时钟源。 ,使在输出端3 获得频率为50HZ 的矩形波信号,当基本RS 调节电位器 R W 触发器Q =1 时,门5 开启,此时50HZ 脉冲信号通过门5 作为计数脉冲加于 。 计数器①的计数输入端CP 2 图11-2 单稳态触发器波形图图11-3 74LS90引脚排列 3.计数及译码显示 二—五—十进制加法计数器74LS90 构成电子秒表的计数单元,如图1 1 -1 中单元Ⅳ所示。其中计数器①接成五进制形式,对频率为50HZ 的时钟脉冲进行五分频,在输出端Q 取得周期为的矩形脉冲,作为计数器②的时钟 D 输入。计数器②及计数器③接成8421 码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示~秒;1 ~9 秒计时。 注:集成异步计数器74LS90

电子技术课程设计---秒表数码显示电路数字秒表电路设计

电子技术课程设计---秒表数码显示电路数字秒表电路设计 一,课题名称 秒表数码显示电路数字秒表电路设计 二,设计要求 1. 设计1MHz时钟: 2.完成0~59小时59分59秒范围内的计时: 3.通过按键设置计时起点与终点,计时精度为10ms: 4. 计时暂停、恢复和清零功能; 5. 计时时间报警功能; 三,比较和选定设计的系统方案,画出系统框图 1.1,课题分析与方案确定 本题要求计数至59时59分59秒,所以要用到六个数码管。计数精度为10ms,及计数分辨率为0.01秒,所以需要相应的信号发生器。暂停功能和清零功能通过开关进行控制。最终方案是使用六个74LS160,六个数码管,以及两个VCC来进行设计,总体采用同步预置法。 1.2,总体设计方案及系统框图

数字式秒表,必须有数字显示。按设计要求,必须用数码管来做显示器。题目要求59时59分59秒,则需要六个数码管。要求计数分辨率为0.01秒,则需要相应频率的信号发生器。总体上,采用六个74LS160计数器。使用同步预置法,实现59时59分59秒的计时。 将两个74LS160组合,并通过同步预置法实现六十进制,六个计数器分成三组,分别对应时、分、秒的功能。 四,单元电路设计、参数计算和器件选择 1.1,各个模块单元设计 74LS160 芯片同步十进制计数器(直接清零)作用:1、用于快速计数的内部超前进位.2、用于n 位级联的进位输出.3、同步可编程序.4、有置数控制线.5、二极管箝位输入.6、直接清零.7、同步计数.74LS160的功能真值表。 功能表: 图20 引脚图:

图21 逻辑符号及其引脚功能图: 图22 74ls160中的ls代表为低功耗肖特基型芯片。74160为标准型芯片。结构功能一样。2、160为可预置的十进制计数器,共有54/74160 和54/74LS160 两种线路结构型式,其主要电器特性的典型值如表3-1(不同厂家具体值有差别): 异步清零端/MR1 为低电平时,不管时钟端CP信号状态如何,都可以完成清零功能。 将一个计数器15端连接到另一个计数器的2端来形成一组计数器,第2个计数器输出0101的信号时通过与非门将信号输出到它的9端来实现60进制计数。并将0101信号也输入到下一组计数器上来实现进数功能。清零模块通过将所有计数器的1端接5V电源上并通过开关闭合与开启来置1和置0来实现清零的功能。在脉冲信号输出端接开关来实现开始与暂停功能。

电子秒表课程设计报告

电子秒表 1、设计课题任务及指标 1.通过本设计的选题、方案论证、设计计算、安装调试、资料整理、撰写“设计报告”等环节,初步掌握电子工程设计方法和组织实施的基本技能,深化、扩展并综合运用课堂上所学的电子电路分析设计方法以及集成电路知识完成小系统的电路设计。 2.利用基本脉冲发生器及计数、译码、显示等单元电路设计数字秒表。 3.在实验装置上或者利用仿真软件完成数字秒表的线路连接和调试。 功能要求: 基本要求:计时从1s至99s;有置数、复位功能;能用开关灵活启动和停止秒表。 扩展功能:有倒计时功能;能计时从0.1s至9.9s。 2、系统设计方案论证 所作为数字式秒表,所以必须有数字显示。按设计要求,须用数码管来做显示器。题目要求最大记数值为99秒,那则需要两个数码管。要求计数分辨率为1秒,那么则需要相应频率的信号发生器。选择信号发生器时,有两种方案:一种是用晶体震荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。由于熟悉程度,本组采用集成电路555定时器与电阻和电容组成的多谐振荡器。秒表功能中要求有复位功能、能用开关灵活启动和停止秒表,则控制电路的方案也有两种:方案一,用74ls08和74ls32及一个3控拨码开关;方案二,用2个单刀双掷开关及多个单刀开关。为了能够灵活的控制秒表,我们选用方案一。计数部分使用两个74LS192十进制计数器,这种计数器能够简捷的进行顺逆计时,为了方便简单译码显示电路采用了74ls48与共阴极七段数码管。 系统框图:

图1 流程图3、元器件清单

4、单元电路设计 4.1电源与总控开关 图2 电源与总控开关 电源由干电池提供;R1为保护电阻;S1为电路总控开关。 4.2脉冲发生器(由555构成的多些振荡器) 图3 脉冲发生电路图 图4 NE555管脚图 由于频率 f=1.43/(R1+2R2)C=1Hz,(1)

数电课程设计:电子秒表

《数字电子技术基础》 课程设计报告 题目:电子秒表 专业:自动化 班级:自动化 姓名: 指导教师: 成绩: 2015年8月25日

课程设计任务书 学生班级:自动化学生姓名:学号: 设计名称:电子秒表 起止日期:2015.8.22——2015.9.05 指导教师:

摘要 秒表应用于我们生活、工作、运动等需要精确计时的方面。它由刚开始的机械式秒表发展到今天所常用的数字式秒表。秒表的计时精度越来越高,功能越来越多,构造也日益复杂。 本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100Hz;计时最长时间为10min,6位显示器,显示时间最长为9m59.99s;系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。 针对上述设计要求,我们先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。其次安装并学习了数字电路设计中所常用的Multisim仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。 关键字:555定时器十进制计数器多谐振荡器

目录 第一章方案设计与论证 .................................................. - 5 - 第二章单元电路设计与参数计算 .......................................... - 5 - 2.1 时钟脉冲发生和控制信号- 5 - 2.2 启动与停止电路- 6 - 2.3 清零电路设计- 7 - 第三章总电路工作原理及元器件清单 ...................................... - 7 - 3.1 电路完整工作过程描述(总体工作原理)- 7 - 3.2 总原理图:(见下图3-1)- 7 - 第四章主要芯片介绍- 9 - 4.1 74LS00- 9 - 4.1 74LS160- 9 - 第五章仿真............................................................. - 9 - 自我评价- 13 -

数字秒表设计报告

吉林建筑工程学院 电气与电子信息工程学院微机原理课程设计报告 设计题目:数字秒表的设计 专业班级: 学生姓名: 学号: 指导教师: 设计时间:

数字秒表设计报告 一、课程设计目的 通过该设计,掌握8255并行接口芯片、8253定时计数芯片的使用和数码管的使用,并掌握相应的程序设计和电路设计的技能。是对8255并行接口芯片章节理论学习的总结和补充,为后续的硬件课程的学习打下基础。 二、课程设计的内容及要求 利用8253计数器2和计数器1,实现1Hz信号的产生,然后计数器采用硬件触发选通方式计数,CPU读取计数结果,并转换为读秒计数,并把读秒计数的结果用数码管显示出来(2位)。 三、总体设计方案 设计一个利用微机原理与接口技术完成秒表的设计方案, 该方案主要是选择8253A的计数器2与计数器1产生一个1Hz的中断脉冲,其输出端与不可屏蔽中断请求信号端相连接。利用1.19318MHz脉冲方波输入CLK2,设置CLK2的初值为59659,将CLK2的输出端连接到CLK1,设置CLK1的初值为20,将OUT1连接到8086CPU 的NMI端。将NMI端有一个低电平信号输入时,8086CPU将产生中断进行秒计数。8086通过8255A将PA口作为段选信号输出端,将PB口作为片选信号输出端。 图3.1 方案设计框图

此方案的核心内容是利用微机原理与接口技术完成秒表的设计方案,该方案主要是选择8253A的计数器2和计数器1进行1s的定时,其输出于OUT1与8086的NMI相连,当定时到1s的时候产生一个中断信号,在中断服务程序进行秒的计数,并送入相应的存储单元;8255的A口接七段数码管的段选信号,B口接七段数码管的位选信号,秒的数值通过对8255的编程可以显示在七段数码管上面。该方案是利用微机接口技术的典范案例,就可行性而言,也是行之有效的。四、硬件系统设计 8086简介 Intel 8086拥有四个16位的通用寄存器,也能够当作八个8位寄存器来存取,以及四个16位索引寄存器(包含了堆栈指标)。资料寄存器通常由指令隐含地使用,针对暂存值需要复杂的寄存器配置。它提供64K,8位元的输出输入(或32K,16位元),以及固定的向量中断。大部分的指令只能够存取一个内存位址,所以其中一个操作数必须是一个寄存器。运算结果会储存在操作数中的一个。Intel 8086有四个内存区段(segment)寄存器,可以从索引寄存器来设定。区段寄存器可以让CPU利用特殊的方式存取1MB内存。8086把段地址左移4位然后把它加上偏移地址。而 8086 的寻址方式改变让内存扩充较有效率。8086处理器的时钟频率介于4.77MHz(在原先的IBM PC)和10 MHz之间。8086没有包含浮点指令部分(FPU),但是可以通过外接数学辅助处理器来增强浮点计算能力。其I/O分配如下图所示: 图4.1 CPU8086及I/O接口电路图4.2 8086实物图

电子秒表课程设计报告

电子秒表课程设计报告 目录 一、设计要求 (2) 二、设计的目的与作用 (2) 三、设计的具体表达 (2) 1. 电子秒表的基本组成 (3) 2.电子秒表的工作原理 (3) 3.电子秒表的原理图 (4) 4. 单元电路设计 (4) 5.设计仿真与PCB制版 (12) 四、心得体会 (17) 五、附录 (18) 六、参考文献 (20)

一、设计要求 1.以0.1秒为最小单位进行显示。 2.秒表可显示0.1~60秒的量程。 3.该秒表具有清零、开始计时、停止计时功能。 二、设计的目的与作用 1.培养我们运用有关课程的基础理论和技能解决实际问题,并进一步提高专业基本技能、创新能力。通过课程设计,学习到设计写作方法,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计和研究成果。 2. 熟悉555方波振荡器的应用。 3.熟悉计数器的级联及计数、译码、显示电路的整体配合。 4.建立分频的基本概念。 三、设计的具体表达 1.电子秒表的基本组成 电子秒表电路的基本组成框图如下图,它主要由基本RS触发器、多谐振荡器、计数器和数码显示器4个部分组成。

电子秒表电路的基本组成〔方框图〕如下: 图〔1〕电子秒表基本组成方框图 2.电子秒表的工作原理 由555定时器构成多谐振荡器,用来产生50Hz的矩形波。第Ⅰ块计数器作5分频使用,将555输来的50Hz的脉冲变为0.1秒的计数脉冲,在输出端Qd取得,作为第2块计数器的始终输入,第2、第3块计数器QA与CP2相连,都已接成8421码十进制计数电路,第4块接成六进制形式,其输出端与译码显示器的相应输入端连接,可显示0.1-0.9s,1-9s,10-60s. 3.电子秒表的原理图

数字式秒表课程设计报告

XX文理学院《单片机》课程设计报告 题目数字式秒表 学院(部)电子电气工程系 专业电气自动化 学生XX X江 学号20109501S009 指导教师(签字)

目录一.目录 二.二.内容摘要 三.关键字 四.技术要求 五.方案论证与选择 1.方案论证 2.总框图 (一)控制电路 (二)0.01秒脉冲发生器 (三)复位电路 (四)译码显示电路 1.计数器74LS160 2.译码器7447 3. 七段数码管(LED) 六.电路图及电路工作原理 元件清单 七.课设存在的问题及解决 八.心得体会 九.参考文献

二.内容摘要 本设计所实现的数字式秒表是电子设计技术中最基本的设计 实验之一。该数字计数系统的逻辑结构较简单,是由控制电 路,复位电路,0.01秒脉冲发生器,译码显示电路构成的。 其中控制电路是由基本R-S触发器以及电阻,开关组成的电 路部分。 复位电路是由直流电源,电阻以及开关组成的电路部分。 多谐振荡器是由555定时器以及其外围电路组成的电路部分, 它和分频器一起用来产生0.01秒的脉冲。 三. 关键字 计数器,译码器,显示器,555定时器构成的多谐振荡器,基 本R-S触发器 四.技术要求 1.秒表最大计时值为9分59.99秒; 2. 5位数码管显示,分辨率为0.01秒; 3 .具有清零,启动计时,复位开关计数等控制功能; 4.控制操作开关不超过二个; 五.方案论证与选择 1. 数字式秒表,就需要显示数字。根据设计要求,要用数码管 来做显示器。题目要求最大记数值为9分59.99秒,则需要一 个8段数码管作为秒位(有小数点)和五个7段数码管作为分 秒位。要求计数分辨率为0.0 1秒,那么我们需要相应频率的

电子秒表课程设计报告

电子秒表课程设计报告 电子信息工程专业10级学生单片机原理课程设计任务书 电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、设计方案分析 1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用*****强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用*****2单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置

了两个按键和LCD显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H-33H中。其中31H存放分钟变量,32H存放秒钟变量,33H存放10ms计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD码数据的对应段码存放在ROM表中。显示时,先取出31H-33H 某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 计时通过INT1中断完成,定时溢出中断周期为1ms,当一处中断后向CPU发出溢出中断请求,每发出一次中断请求就对毫秒计数单元进行加一,达到10次就对十毫秒位进行加一,依次类推,直到4.59.99秒重新复位。 再看按键的处理。这两个键可以采用中断的方法,也可以采用扫描的方法来识别。复位键主要功能在于数值复位,对于时间的要求不是很严格。而开始和停止键则是用于对时间的锁定,需要比较准确的控制。因此可以对复位按键采取扫描的方式。而对

数字秒表课程设计报告

课程设计任务书 数字式秒表的设计 (一)、任务和要求: 设计并制作一个数字式秒表,要求如下: 1、用三位数码管及一个LED发光二极管显示秒表计时,格式如下: ↑ 分 开机时数码管显示000,LED灯灭;当计时超过59秒时,LED灯亮;计到1分59秒时,过一秒LED灯灭,同时数码管重新计时显示。计时最小单位为0.1秒。 2、具有如下功能键: 开始/清零键:按第一下时计时开始,同时显示;按第二下,停止计时恢复到初始状态; 固定显示键:按第一下时,显示固定,但计时仍继续;再按下时,显示从新时间开始。 3、要求自制0.1秒信号源。 4、设计并制作本电路所用直流电源。 (二)、提示和参考文献 直流稳压电源见参考资料P23 《数字电子技术实验任务书》实验六

课程设计是针对某一理论课程的要求,对学生进行综合性实践训练的实践教学环节,可以培养学生运用课程中所学的理论知识与时间紧密结合,独立地解决实际问题的能力。 本次课程设计的数字式秒表的电路是利用不同功能的芯片和逻辑电路构成的数字电路,其中所需的芯片如计数器、译码器、锁存器等,它与我们所学的数电、模电密切相关。数字、模拟电子技术是电子、通信专业的重要基础课程,其特点之一是实践性强,因此电子电路课程设计也是其教学过程的重要组成部分。 课程设计的目的及意义: 1、基础知识更深层次的理解和掌握; 2、逻辑思维的培养和锻炼; 3、各学科之间的联系与整合; 4、培养严谨的治学态度和优良的学习作风; 5、培养各小组成员之间的团结协作精神。 电子技术综合课程设计应达到如下基本要求: 1、综合运用所学到的理论知识(比如数、模电)去独立完成一个设计课题; 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力; 3、进一步熟悉需用电子器件的类型和特性,并掌握合理选用元器件的原则; 4、学会电子电路的安装与调试技能; 5、进一步熟悉各种电子仪器(如示波器)的正确使用方法; 6、学会撰写课程设计总结报告; 7、培养严肃认真的工作作风和严谨的科学态度。 做课程设计的我们也应该做到以上基本要求,努力完成此次课程设计,以达到此次课程设计的意义。在此非常感谢指导老师的耐心教导,以及组员们的竭力协作。

相关文档
相关文档 最新文档