文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑电路第一章[1]

数字逻辑电路第一章[1]

数字逻辑电路习题集1

第一章 数字逻辑电路基础 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、用二进制数表示文字、符号等信息的过程称为_____________。(编码) 5、()11011(2= 10),()1110110(2= 8),()21(10= 2)。(27、16 6、10101) 6、()101010(2= 10),()74(8= 2),()7(16=D 2)。(42、111100、11010111) 7、最基本的三种逻辑运算是 、 、 。(与、或、非) 8、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 9、逻辑函数化简的方法主要有 化简法和 化简法。(公式、卡诺图) 10、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 11、任何一个逻辑函数的 是唯一的,但是它的 可有不同的形式,逻辑函数的各种表示方法在本质上是 的,可以互换。(真值表、表达式、一致或相同) 12、写出下面逻辑图所表示的逻辑函数Y= 。(C B A Y )(+=) 13、写出下面逻辑图所表示的逻辑函数Y= 。())((C A B A Y ++=) 14、半导体二极管具有 性,可作为开关元件。(单向导电) 15、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 16、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 二、判断题

1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。(√) 2、二进制只可以用来表示数字,不可以用来表示文字和符号等。(╳) 3、十进制转换为二进制的时候,整数部分和小数部分都要采用除2取余法。(╳) 4、若两个函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数未必相等。(╳) 5、证明两个函数是否相等,只要比较它们的真值表是否相同即可。(√) 6、在逻辑函数表达式中,如果一个乘积项包含的输入变量最少,那么该乘积项叫做最小项。(╳) 7、当决定一件事情的所有条件全部具备时,这件事情才发生,这样的逻辑关系称为非。(╳) 8、在全部输入是“0”的情况下,函数B A Y +=运算的结果是逻辑“0”。( ╳) 9、逻辑变量取值的0和1表示事物相互独立而又联系的两个方面。(√) 10、在变量A 、B 取值相异时,其逻辑函数值为1,相同时为0,称为异或运算。(√) 11、逻辑函数的卡诺图中,相邻最小项可以合并。(√) 12、对任意一个最小项,只有一组变量取值使得它的值为1.(√) 13、任意的两个最小项之积恒为0。(√) 14、半导体二极管因为其有导通、截止两种工作状态,所以可以作为开关元件使用;半导体三极管因为其有饱和、截止、放大三种工作状态,所以其不可以作为开关元件使用。(╳) 15、半导体二极管、三极管、MOS 管在数字电路中均可以作为开关元件来使用。(√) 三、选择题 1、下列哪些信号属于数字信号(B )。 A 、正弦波信号 B 、时钟脉冲信号 C 、音频信号 D 、视频图像信号 2、数字电路中的三极管工作在(C )。 A 、饱和区 B 、截止区 C 、饱和区或截止区 D 、放大区 3、十进制整数转换为二进制数一般采用(A ) A 、除2取余法 B 、除2取整法 C 、除10取余法 D 、除10取整法 4、将十进制小数转换为二进制数一般采用(B ) A 、乘2取余法 B 、乘2取整法 C 、乘10取余法 D 、乘10取整法 5、在(A )的情况下,函数B A Y +=运算的结果是逻辑“0” A 、全部输入是“0” B 、任一输入是“0” C 、任一输入是“1” D 、全部输入是“1” 6、在(B )的情况下,函数AB Y =运算的结果是逻辑“1” A 、全部输入是“0” B 、任一输入是“0” C 、任一输入是“1” D 、全部输入是“1”

数字电子技术第三章(组合逻辑电路)作业及答案

数字电子技术第三章(组合逻辑电路)作业及答案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

第三章(组合逻辑电路)作业及答案 1、写出图3-1所示组合逻辑电路中输入输出的逻辑关系式和真值表。 图3-1:组合逻辑电路逻辑图 解:(1)C A A AC B A Y +=++=1 (2)D B C B A CD B A CD B A D BD CD A B A Y ++=++=+=++=)( 2 2、试分析图3-2所示组合逻辑电路,写出其逻辑函数表达式。若设S 1﹑S 0为功能控制信号,A ﹑B 为输入信号,L 为输出,说明当S 1﹑S 0取不同信号值时,电路所实现的逻辑功能。 图3-2:组合逻辑电路逻辑图 3、试用与门、或门和非门,或者与门、或门和非门的组合来实现如下各逻辑函数关系,画出相应的逻辑电路图。 (1)1 Y AB BC =+ A B S 1 S 0 =1 =1 & =1

(2)2Y A C B =+() (3)3Y ABC B EF G =++() & & 1 ≥Y1. 1 A B C . & 1 ≥Y2 . 1 A B C & 1 ≥1 ≥& & 1 A B C . E F G .Y3 . . . 4、试用门电路设计4线-2线优先编码器,输入、输出信号都是高电平有效,要求任一按键按下时,G S 为1,否则G S =0;还要求没有按键按下时,E O 信号为1,否则为0。

5、试用逻辑门电路设计一个2选1数据选择器,输入信号为A、B,选择信号为S,输出信号为Y,要求写出真值表、逻辑函数表达式和画出逻辑电路图。 6、某公司3条装配线各需要100kW电力,采用两台发电动机供电,一台100kW,另外一台是200kW,3条装配线不同时开工,试设计一个发电动机控制电路,可以按照需求启动发电动机以达到节电的目的。

(完整word版)《数字逻辑》(第二版)

第一章 1. 什么是模拟信号什么是数字信号试举出实例。 模拟信号-----指在时间上和数值上均作连续变化的信号。例如,温度、压力、交流电压等信号。 数字信号-----指信号的变化在时间上和数值上都是断续的,阶跃式的,或 者说是离散的,这类信号有时又称为离散信号。例如,在数 字系统中的脉冲信号、开关状态等。 2. 数字逻辑电路具有哪些主要特点 数字逻辑电路具有如下主要特点: ●电路的基本工作信号是二值信号。 ●电路中的半导体器件一般都工作在开、关状态。 ●电路结构简单、功耗低、便于集成制造和系列化生产。产品价格低 廉、使用方便、通用性好。 ●由数字逻辑电路构成的数字系统工作速度快、精度高、功能强、可 靠性好。 3. 数字逻辑电路按功能可分为哪两种类型主要区别是什么 根据数字逻辑电路有无记忆功能,可分为组合逻辑电路和时序逻辑电路两类。组合逻辑电路:电路在任意时刻产生的稳定输出值仅取决于该时刻电路输入值的组合,而与电路过去的输入值无关。组合逻辑电路又可根据 输出端个数的多少进一步分为单输出和多输出组合逻辑电路。时序逻辑电路:电路在任意时刻产生的稳定输出值不仅与该时刻电路的输入值有关,而且与电路过去的输入值有关。时序逻辑电路又可根据电 路中有无统一的定时信号进一步分为同步时序逻辑电路和异 步时序逻辑电路。 4. 最简电路是否一定最佳为什么 一个最简的方案并不等于一个最佳的方案。最佳方案应满足全面的性能 指标和实际应用要求。所以,在求出一个实现预定功能的最简电路之后,往往要根据实际情况进行相应调整。 5. 把下列不同进制数写成按权展开形式。 (1) 10 (3) 8 (2) 2 (4) 16 解答(1)10 = 4×103+5×102+1×101+7×100+2×10-1+3×10-2 +9×10-3 (2)2= 1×24+1×22+1×21+1×2-2+1×2-4

数字逻辑电路(王秀敏主编)课后习题答案第二章

第1章 概述 检 测 题 一、填空题 1. 在时间和数值上都是连续变化的信号是_______信号;在时间和数值上是离散和量化 的信号是_______信号。 2. 表示逻辑函数常用的方法有4种,它们是_______,________,________,_______。 3. 正逻辑体制高电平用逻辑_____表示,低电平用逻辑_____表示。 4. 任何进位计数制,数值的表示都包含两个基本的要素:_______和_______。 5. 102816(9 6.75)( )( )( )=== 二、请完成下列题的进制转换 1.210(1011001)( )= 810(736.4)( )= 1610(34)( )F C = 2.112(30)( )= 102(16.6875)( ) = 3.28(1011101)( )= 28(1010010.11010)( )= 4.82(127.65)( )= 162(9.16)( ) A = 5.216(1110101100)( )= 216(1111.001)( ) =

三、选择题 1.在下列各数中,最小的数是( ) (a) 2(101001) (b) 8(52) (c) 16(2)B (d) 10(96) 2. 8421(100110000110)( )BCD 余3BCD (A)100110001001 (B)100110001000 (C)110010000110 (D)101100001100 四、简述题 1.为什么在数字系统中通常采用二进制/ 2.何为进位计数制? 何为码制? 何为正、负逻辑? 3.算术运算、逻辑运算和关系运算的区别? 检测题答案 一、填空题 1. 答案:模拟,数字 2. 答案:真值表,逻辑函数式,逻辑图,卡诺图。 3. 答案:1,0;0,1 4. 答案:基数,位数 5. 答案:1100000.11,140.6,60.0 二、请完成下列题的进制转换 1. 89; 478.5; 8012 2. 11110; 10000.1011 3. 135; 122.62 4. 1010111.110101; 10011010.00010110 5. 3AC ; F.2 三、选择题 1.答案:A 2. 答案:A 四、简述题 答案:略

第八章 数字逻辑电路基础知识(清华大学出版)

第八章 数字逻辑电路基础知识 1、数字电路处理的信号是数字信号,而数字信号的时间变量是离散的,这种信号也常称为离散时间信号。 2、数字电路的特点: (1)数字信号常用二进制数来表示。 (2)数字电路中,器件常工作在开关状态,即饱和或截止状态。而模拟电路器件工作在放大状态。 (3)数字电路研究的对象是电路输入与输出的逻辑关系,即逻辑功能。而模拟电路研究的对象是电路对输入信号的放大和变换功能。 (4)数字电路的基本单元电路是逻辑门和触发器。(模拟电路单元是放大器) (5)数字电路的分析工具是逻辑代数。 (6)数字信号常用矩形脉冲表示。 脉冲幅度UM ,表示脉冲幅值; 脉冲宽度tW ,表示脉冲持续作用的时间; 周期T ,表示周期性的脉冲信号前后两次 出现的时间间隔; 3、整数转换一般采用“除基取余”法。小数的转换一般采用“乘基取整”法。 4、8421BCD 码与二进制的区别: 8421210001010001110028)()()(== BCD 码转换成二进制数是不直接的。方法是:先转成十进制数,再转成二进制数。反相转换亦是如此。 5、逻辑变量只有两个值,即0和1,0和1并不表示数量的大小,只表示两个对立的逻辑状态。 6、与逻辑运算表达式:F =A ·B =AB 7、或逻辑运算表达式: F =A+B 8、 非逻辑运算表达式: F =ā

9、数字信号常用二进制数来表示。在数字电路中,常用数字1和0表示电平的高和低。 10、当输入A 、B 均为高电平时,输出低电平当A 、B 中至少有一个。 11、TTL 是晶体管——晶体管逻辑电路的简称。输入和输出部分的开关元件均采用三极管(也称双极型晶体管),因此得名TTL 数字集成电路。 12、TTL 与非门的技术参数 : 1.电压传输特性 AB 段截止区 BC 段线性区 CD 段转折区(开门电压ON U ) DE 段饱和区 大于ON U :保证输出低电平。 13、 (1)输出高电平UOH :指逻辑门电路输出处于截止时的输出电平。(典型值UOH=3.6V , UOH (min )=2.4V 。) (2)输出低电平UOL :指逻辑门电路输出处于导通时的输出电平。(典型值UOL =0.3V ,UOL (max )=0.4V 。 (3)输入高电平UIH :由于UIH 是门电路导通时的最小输入电平,故称为开门电平UON 。 (典型值UIH =3.6V , UIH (min )=2.0V 。) (4)输入低电平UIL :保证门电路输出高电平UOH=2.4V 的最大输入电平,又称为关门电平UOFF 。(典型值UIL =0.3V , UIL (max )= 0.8V 。) 14、 扇入与扇出系数 扇入系数NI :指TTL 与非门输入端的个数。例如一个3输入端的与非门,其扇入系数NI =3。 扇出系数:用来衡量逻辑门的负载能力,它表示一个门电路能驱动同类门的最大数目。 扇出系数分为两种情况:(灌电流负载)即输出低电平:) ()(MAX IL MAX OL OL I I N = (拉电流负载)即输出为高电平:) ()(MAX IH MAX OH OH I I N =. 分别计算出低电平高电平时的扇出系数,若OH OL N N ≠,则取较小的作为电路的扇出系数。 15、平均传输延迟时间tPd 是通导延时时间tPHL 和截止延时时间tPLH 的平均值,即 tPd =(tPHL+tPLH )/2 (tPd 越小,工作速度越快) 16、前面介绍的TTL 与非门输出端不能连接在一起,否则将造成逻辑混乱和器件的损坏。(而OC 门输出端可以相连) 17、OC 门电路的特点:用外接电阻RC 代替了原来的T3、D3和R4部分。

数字逻辑电路习题集教学教材

数字逻辑电路习题集

第一章 数字逻辑电路基础 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、用二进制数表示文字、符号等信息的过程称为。(编码) 5、()11011(2= 10),()1110110(2= 8),()21(10= 2)。(27、 166、10101) 6、()101010(2= 10),()74(8= 2),()7(16=D 2)。(42、 111100、11010111) 7、最基本的三种逻辑运算是 、 、 。(与、或、非) 8、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 9、逻辑函数化简的方法主要有 化简法和 化简法。(公式、卡诺图) 10、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可)

11、任何一个逻辑函数的 是唯一的,但是它的 可有不同的形式,逻辑函数的各种表示方法在本质上是 的,可以互换。(真值表、表达式、一致或相同) 12、写出下面逻辑图所表示的逻辑函数 。(C B A Y )(+=) 13、写出下面逻辑图所表示的逻辑函数 。())((C A B A Y ++=) 14、半导体二极管具有 性,可作为开关元件。(单向导电) 15、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 16、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 二、判断题 1、十进制数74转换为8421码应当是BCD 8421)01110100(。(√) 2、二进制只可以用来表示数字,不可以用来表示文字和符号等。(╳) 3、十进制转换为二进制的时候,整数部分和小数部分都要采用除2取余法。(╳) 4、若两个函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数未必相等。(╳)

数字逻辑实验:组合逻辑电路的设计

实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制的运算规律。 实验器材 二输入四“与非”门组件3片,型号74SL00 二输入四“异或”门组件1片,型号74SL86 六门反向器门组件1片,型号74SL04 二输入四“与”门组件1片,型号74SL08 实验内容 A:一位全加/全减法器的实现 电路做加法还是做减法是由M决定的。当M=0时做加法运算,输入信号A、B和Cin分别为加数、被加数和低位来的进位,S为和数,Co为向上位的进位;当M=1时做减法运算,输入信号A、B和Cin分别为减数、被减数和低位来的借位,S为差,Co为向上位的借位。 B:舍入与检测电路设计 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1;其他情况F2=0。

实验前准备 ▽内容A:一位全加/全减法器的实现 ①根据全加全减器功能,可得到输入输出表如下: ②由以上做出相应的卡诺图:

③于是可得其逻辑电路图: ▽内容B:舍入与检测电路设计 ①根据舍入与检测电路功能,可得到输入输出表如下: ②由上做出相应的卡诺图:

③于是可得其逻辑电路图: 实验步骤 1.按要求预先设计好逻辑电路图; 2.按照所设计的电路图接线; 3.接线后拨动开关,观察结果并记录。

实验体会 本次是第一次实验,主要了解了实验平台,同时需要我们将自己设计好的电路,用实验台上的芯片来实现。由于实验所使用的线很多,芯片的接口也多,所以一定要细心,分清楚连接芯片的输入、输出端,以免接错线。

数字逻辑与数字电路电子体库第八章数模和模数转换器

1、AD7520为10位倒T型D/A转换器;74LS160为十进制加法计数器,V REF= —10V ,ROM 表如下表要求 <1)写出74LS160地状态转换图<一个循环); <2)对应CLK脉冲,画出74LS160地一个循环内V O地电压波形<要求标注波形对应地电压值). A3 A2 A1 A0 D3 D2 D1 D0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 1 0 1 0 0 1 1 0 1 1 0 0 0 1 0 0 1 1 1 0 0 0 1 1 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 0 1 0 1 1 0 1 0 1 1 1 1 1 1 0 1 1 0 0 1 1 1 1 0 0 1 1 + 10V

Cl K 2、下图所示电路为用D/A转换器AD7520和同步十进制计数器74LS160组成地波形 发生器电路?已知Vref= —8V,试讨论在CLK时钟作用下,输出V。地变化情况,并画出输出电V。地波形,标出波形图上各点电压地幅值.b5E2RGbCAP Cl K nnnn^

3、 下图所示电路为用 D/A 转换器AD7520和同步十六进制计数器 74LS161组成地波 形发生器电路?已知Vref= — 10V,试画出74LS161地状态转换图,讨论在CLK 时钟作用下 输出V O 地变化情况,并画出输出电V O 地波形,标出波形图上各点电压地幅值 .plEanqFDPw 4、 下图所示电路为用 D/A 转换器AD7520和同步十六进制计数器 74LS161组成地波 形发生器电路?已知Vref= — 10V,试画出74LS161地状态转换图,讨论在CLK 时钟作用下 输出V O 地变化情况,并画出输出电 V O 地波形,标出波形图上各点电压地幅值 .DXDiTa9E3d 74LSI61 LD R D Q EI Q 】Q 】Q .; CLK a CLK >CLK 74LSI61 LD R D n 1 11 da 山 d? 必i 必 d] cl r 0地开1 A MTTI ^REF T " CLK

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

数字逻辑电路第1章习题解答

第1章 数字逻辑基础 1-1 将下列二进制数转换为十进制数。 (1) 2(1101) (2) 2(10110110) (3) 2(0.1101) (4) 2(11011011.101) 解 (1)3210210(1101)12120212(13)=?+?+?+?= (2)75421210(10110110)1212121212(182)=?+?+?+?+?= (3) 124210(0.1101)1212120.50.250.0625(0.8125)---=?+?+?=++= (4) 76431013210 (11011011.101)22222222 12864168210.50.125 (219.625)--=+++++++=+++++++= 1-2 将下列十进制数转换为二进制数和十六进制数 (1) 10(39) (2) 10(0.625) (3) 10(0.24) (4) 10(237.375) 解 (1)10216(39)(100111)(27)== (2) 10216(0.625)(0.101)(0.A)== (3)近似结果: 16210)3.0()00111101.0()24.0(D =≈ (4) 10216(237.375)(1110'1101.011)(0ED.6)== 1-3 将下列十六进制数转换为二进制数和十进制数 (1) 16(6F.8) (2) 16(10A.C) (3) 16(0C.24) (4) 16(37.4) 解 (1) 16210(6F.8)(1101111.1)(111.5)== (2) 16210(10A.C)(1'0000'1010.11)(266.75)== (3) 16210(0C.24)(1100.0010'01)(12.140625)== (4) 16210(37.4)(11'0111.01)(55.25)== 1-4 求出下列各数的8位二进制原码和补码 (1) 10(39)- (2) 10(0.625) (3) 16(5B) (4) 2(0.10011)- 解 (1)10(39)(1'0100111)(1'1011001)-==原码补码 (2) (0.1010000)(0.1010000)==10原码补码(0.625) (3) 16(5B)(01011011)(01011011)==原码补码 (4) 2(0.10011)(1.1001100)(1.0110100)-==原码补码

数字逻辑电路(英文版)课后习题答案第8章

CH8部分答案 8.13 what is the counting sequence of the circuit shown in Figure X8.13 74×169的工作特性: 顺序计数到1111以及逆序计数到0000时,RCO_L 有效。 所以,可画出状态转移图 8.14 A 74×163 counter is hooked up with inputs ENP, ENT, and D always HIGH, inputs A , B and C always LOW, input LD_L=(QA ·QC)’, and input CLR_L=(QB ·QD)’, The CLK input is hooked up to a free-running clock signal. Draw a logic diagram for this circuit. Assuming that the counter starts in state 0000, write the output sequence on QD QC QB QA for the next 15 clock ticks. 解:

QD QC QB QA=0101时,计数器置数,装入DCBA=1000; QD QC QB QA=1010时,计数器清零; 状态:QD QC QB QA=0000,0001,0010,0011,0100,0101,1000,1001,1010,0000,0001,0010,0011,0100,0101 8.16 According to figure 8-51 and table 8-26, the 5-bit LFSR’s feedback equation is X5=X2⊕X0, so we can draw the circuit as following: And the X5=1111100011011101010000100101100(31 bit) The simulation graphic: As starting state 0001, if X4=0,then the first ten state 00001→10000→01000→00100→10010→01001→10100→11010→01101→00110→10011→11001→11100…. 8.26 将Q接T输入(教材T触发器)(或接入时钟端,教学ppt图) 8.27

数字电子技术第四章组合逻辑电路

第四章组合逻辑电路 4.1概述 1、数字电路种类:逻辑电路根据输岀信号对输入信号响应的不同分为两类:一类是组合逻辑电路,简称组合电路;另一类是时序逻辑电路,简称时序电路。 2、组合逻辑电路定义:某一时刻电路的输出状态仅由该时刻电路的输入信号决定,而与该电路在此输入信号之前所具有的状态无关。从电路结构上来看,组合逻辑电路的输出端和输入端之间没有反馈回路。 3、电路结构框图 组合电路的一般电路结构如右图所示。可用如下表达式裏示: X n-P X n) 点. | i 1)电路由逻辑门构成,不含记忆元件. 2)输出卷反馈到输入的回路(不含反馈元 件)所以输出与电路原来状态无关时序电路(以 后祥细讨论)某一时刻电路的输岀状态不仅取决 于该时刻电路的输入信号,还与该电路在此输入 信号之前所具有的状态有关。组逻电合辑路 X千― n-1 X n 组合电路有两类问题:7?给定电路,分析其功能。

4.2组合逻辑电路的分析方法与设计方法 421组合电路的分析方法 一、分析步骤: 1、由已知的逻辑图,写出相应的逻辑函数式; 2、对函数式进行化简; 3、根据化简后的函数式列真值表; 4、找出其逻辑功能; 5、评价与改进。(评价给定的逻辑电路是否经济、合理。)设计步骤用框图表示如下:

A?B (A^)C i+AB C (A^B)C f +AB = (A^B)C i +AB 一位二进制加法器。 A 为被加数, B 为加数, C,为低位的进位数。 S 为本位之和, C 。是本位向高位的进 位数。 ? 真值表 A^B 0 0 7 0 1 1 0 0 0 0 0 0 0 0 1 0 0 1 0 1 s (A?B)C Z 0 0 1 0 1 0 0 1 1 0 0 1 0 1 A?B?C. AB T" 0 0 0 0 0 0 0 0 1 0 1 Co P 0 0

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

数字电路答案第八章

第八章脉冲产生与整形 在时序电路中,常常需要用到不同幅度、宽度以及具有陡峭边沿的脉冲信号。事实上,数字系统几乎离不开脉冲信号。获取这些脉冲信号的方法通常有两种:直接产生或者利用已有信号变换得到。 本章主要讨论常用的脉冲产生和整形电路的结构、工作原理、性能分析等,常见的脉冲电路有:单稳态触发器、施密特触发器和多谐振荡器。 第一节基本知识、重点与难点 一、基本知识 (一)常用脉冲产生和整形电路 1. 施密特触发器 (1)电路特点 施密特触发器是常用的脉冲变换和脉冲整形电路。电路主要有两个特点:一是施密特触发器是电平型触发电路;二是施密特触发器电压传输特性具有回差特性,或称滞回特性。 输入信号在低电平上升过程中,电路输出状态发生转换时对应的输入电平称为正向阈值电压U T+,输入信号在高电平下降过程中,电路状态转换对应的输入电平称为负向阈值电压U T-,U T+与U T-的差值称为回差电压ΔU T。 (2)电路构成及参数 施密特触发器有多种构成方式,如:门电路构成、集成施密特触发器、555定时器构成。主要电路参数:正向阈值电压U T+、负向阈值电压U T-和回差电压ΔU T。 (3)电路应用 施密特触发器主要应用范围:波形变换、波形整形和幅度鉴别等。 2. 单稳态触发器 (1)电路特点 单稳态触发器特点如下: ①单稳态触发器有稳态和暂稳态两个不同的工作状态; ②在外加触发信号的作用下,触发器可以从稳态翻转到暂稳态,暂稳态维持一段时间,自动返回原稳态; ③暂稳态维持时间的长短取决于电路参数R和C。 (2)电路构成及参数 单稳态触发器有多种构成方式,如:门电路构成的积分型单稳态触发器、门电路构成的微分型单稳态触发器、集成单稳态触发器、555定时器构成的单稳态触发器等。主要电路参数:暂稳态的维持时间t w、恢复时间t re 、分辨时间t d、输出脉冲幅度U m。 (3)电路应用 单稳态触发器主要应用范围:定时、延时、脉冲波形整形等。 3. 多谐振荡器 多谐振荡器是一种自激振荡器,接通电源后,就可以自动产生矩形脉冲,是数字系统中产

数字逻辑电路试卷(附答案)

1.逻辑函数的两种标准形式分别为。 2.将2004个“1”异或起来得到的结果是(0)。 3.半导体存储器的结构主要包含三个部分,分别是(译码器)、(存储阵列)、(控制逻辑)。 4.A/D转换的四个过程是采样、保持、量化和(编码),其中采样脉冲的频率要求至少是模拟信号最高频率的(2)倍。 5.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为(5/128)v;当输入为10001000,则输出电压为(5*136/128)v。 6.就逐次逼近型和双积分型两种A/D转换器而言,(双积分型)的抗干扰能力强,(逐次逼近型)的转换精度高。 7.(61. 5)10 == (3D.8)16 = (10010001.1000)5421BCD; 8.已知某74ls00为2输入4与非门,I OL=22mA,I OH=2mA,I IL=2mA,I IH=40μA,则其低电平输出的扇出系数N OL=(11),其高电平输出的扇出系数N OH=(50); 9.函数的最小项表达式为F=(4.5.7),最大项表达式为(0.1.2.3.6) 10. 根据对偶规则和反演规则,直接写出的对偶式和反函数, Fd =(),=(); 11. 12.已知X=(-17),则X的8位二进制原码为(10001001),其8位二进制补码为(11110111); 13.T' 触发器的次态方程是(Qn+1 = ~Qn); 14.D触发器的次态方程是(); 15.根据毛刺的不同极性,可以将逻辑险象分为0型险象和1型险象,对于一个逻辑表达式,若在给定其它变量适当的逻辑值后,出现F= ()的情形,则存在1型险象;

数字逻辑电路第二版刘常澍 习题解答

数字逻辑电路第二版刘常澍习题解答 第1次: 1-14:(3)、(4);1-15:(3)、(4);1-18:(1); 1-22:(3);1-23:(2) 1-14 将下列带符号数分别表示成原码、反码和补码形式。 (3) (?1111111) 2 (4) , (?0000001) 2 ; 解: (3) (?1111111) 2 =() 原 = () 反 = () 补 (4) (?0000001) 2 =() 原 = () 反 = () 补 1-15 将下列反码和补码形式的二进制数变成带符号的十进制数 补; 补 解: (3) 补=(-128) 10 (4) 补 =(-27) 10 1-18列出下述问题的真值表,并写出逻辑式。 (1)有A、B、C三个输入信号,如果三个输入信号均为0或其中一个为1时,输出信号Y=1,其余情况下,输出Y=0。 解: 逻辑式:C B A C B A C B A C B A Y+ + + = 1-22 求下列逻辑函数的反函数(3) C A D C BC D A Y? + =) ( 1-23 求下列逻辑函数的对偶式(2) D BC B A D B A BC Y? + + + + =) ( 第2次:1-21(5)(8) 1-21 用代数法将下列函数化简为最简与-或式。 ABC ACD ABC CD B A ACD BC ACD B A BC AD C B A BC AD C B A B A AB BC AD C B A C B A B A Y + = + + + = +? + = + ? ? + = + ? ? + + = + + ? + = ) ( ) ( ) ( ) ( ) ( ) ( ) )( (

数字电子技术第4章_组合逻辑电路习题解答

习题 4.1写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。 习题4.1图 解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能 4.2分析图所示电路,写出输出函数F 。 习题4.2图 解:[]B A B B B A F ⊕=⊕⊕⊕=)( 4.3已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟. 图 解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???= 4.4由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。 (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。 解:(1)ABD BC CD ABD BC CD L ++=??= (2) L B A =1 =1 =1 F F B A

(3)根据真值表可知,四个人当中C 的权利最大。 4.5分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。 习题4.5图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) 4.6试分析图所示电路的逻辑功能。 习题4.6图 解:(1)ABC C B A F )(++= 10

(2) 电路逻辑功能为:“判输入ABC 是否相同”电路。 4.7已知某组合电路的输入A 、B 、C 和输出F 的波形如下图所示,试写出F 的最简与或表达式。 习题4.7图 解:(1)根据波形图得到真值表: C AB BC A C B A F ++= 4.8、设∑=)14,12,10,9,8,4,2(),,,(m D C B A F ,要求用最简单的方法,实现的电路最简 单。 1)用与非门实现。 2)用或非门实现。 3) 用与或非门实现。 F C B A

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

数字逻辑电路汇总

(2分)正逻辑是指 C. 高电平用“1”表示,低电平用“0”表示 (2分)五个D触发器构成环形计数器,其计数长度为 B. 10 (2分)一个T触发器,在T=1时,来一个时钟脉冲后,则触发器() D. 翻转 (2分)数字电路中的三极管工作在 C. 饱和区或截止区 (2分)当用异步I/O输出结构的PAL A. 组合逻辑电路 (2分)用输出低点平有效的3/8译码器和逻辑门实现某一逻辑函数 A. 一定用与门 (2分)按计数过程中数字增减趋势,计数器可分为加法计数器,可逆计数器和 A. 减法计数器 (2分)五个D A. 5 (2分)四位比较器(74LS85)的三个输出信号A〉B,A=B,A<B中,只有一个是有效信号时,它呈现 B. 低电平 (2分)测试放大电路输出电压幅值与相位的变化,可以得到它的频率响应,条件是 A. 输入电压幅值不变,改变频率 (2分)下列说法正确的是() D. 竞争-冒险一般是由于信号传输延迟时间不一致引起的 (2分)为实现将JK触发器转换为D A. J=D,K=D (2分)合逻辑电路的有 D. 寄存器 (2分)三态门输出端的三种状态分别是高电平状态,低电平状态和

C. 高阻抗输出状态 (2分)存在约束条件的触发器是 C. JK触发器 (2分)欲对全班53个同学以二进制代码编码表示,最少需要二进制的位数是() B. 6 (2分)所谓三极管工作在饱和状态,是指三极管 C. 发射结反偏置,集电结正偏置 (2分)下列说法是正确的是 A. 施密特触发器的回差电压ΔU=UT+-UT- (2分)一个触发器可记录一位二进制代码它有()个稳态 C. 2 (2分)一个8选一数据选择器的数据输入端有()个 E. 8 (3分)欲使JK触发器按Qn + 1=Qn JK触发器的输入端 A. J=K=1 C. J=Q,K=Q D. J=Q,K=1 E. J=1,K=Q (3分)PLD器件的基本结构组成有 A. 与阵列 B. 或阵列 C. 输入缓冲电路 D. 输出电路 (3分)下列说法正确的是() A. 存储器容量等于字线×位线 C. 试用1024×4位的RAM构成4096×4位的存储单元,需要进行字扩展 D. 试用1024×4位的RAM构成4096×16位的存储单元,需要16片RAM (3分)逻辑表达式Y=AB可以用(CD)实现 C. 正与门

相关文档
相关文档 最新文档